D flip-flop

  Рет қаралды 646,611

Ben Eater

Ben Eater

Күн бұрын

Building on the D latch from the previous video ( • D latch ), the D flip-flop has a "clock" input instead of an "enable" input and stores data just on the rising edge of the clock.
Support me on Patreon: / beneater
You can get all the components used in this video from any online electronic components distributor for a few dollars.
Complete parts list (everything in this video):
1x 74LS02 (Quad two-input NOR gate)
1x 74LS08 (Quad two-input AND gate)
2x 330Ω resistor
1x 1kΩ resistor
1x 0.1µF capacitor
2x Momentary "Microtivity 6mm tact switch"
4x LEDs
Solderless breadboard(s)
22 gauge wire
USB charger and cable or some other 5v power source

Пікірлер: 505
@laius6047
@laius6047 7 жыл бұрын
it amazes me that at some point people had to invent these things
@zoefowler7002
@zoefowler7002 6 жыл бұрын
It is even more amazing when you consider the complex series of steps we had to take to even be ready to invent these. Harnessing electricity, generating electricity, resistors, capacitors, inductors, vacuum tubes and even wire. All had to be invented so to put 3 scientist in a position to make the transistor.
@andrewpersaud4144
@andrewpersaud4144 6 жыл бұрын
You are using "people" very casually. Fact is, it is usually a select few every so often that are blessed with genetics to see things in a way others can't. 99 percent of population from current living to deceased can't invent complex things like this. They can only take what's already found and innovate. Any useful innovations are usually limited to a select few as well.
@ohaRega
@ohaRega 6 жыл бұрын
It's not necessarily the smarts, or the "ability to see things in a way others can't". Yes, that helps, but they weren't the only smart people around. The most important factor is being born into the right socioeconomic environment where you're given the chance to study in good universities (and not have to herd the cattle or do labor to stay alive), and even be born with the right *gender* to be taken seriously - which eliminates 50% of all potential geniuses - if we're talking pre-20th century.
@s1treyrr
@s1treyrr 6 жыл бұрын
*Touching guys, really... this got me right in the feels xD
@fasligand7034
@fasligand7034 6 жыл бұрын
I am feeling enlightened just by listening to this guy explaining, I can't even imagine how it feels to invent it by yourself :D
@NotMassLucks
@NotMassLucks Жыл бұрын
14:45 I am still amazed at how coordinated you are doing three separate things at once: moving the pen at a constant speed and pressing two different buttons at different times in different combinations.
@SpringySpring04
@SpringySpring04 5 ай бұрын
It's almost like playing music. The coordination ability required is crazy
@kei_otake
@kei_otake 2 жыл бұрын
Having watched 5 videos previous to this, from transistor logic gates to the D latch, the use of the inverter delay in the edge detection circuit blew my mind to pieces. Biggest crossover event in cinematic history
@audiodood
@audiodood 2 жыл бұрын
compuedtr
@JohnVance
@JohnVance Жыл бұрын
Dude me too holy shit, I'm like you can't do that, that's illegal.
@eldarshamukhamedov4521
@eldarshamukhamedov4521 Жыл бұрын
@@JohnVance for sure, circuit diagrams as a mental model are so useful, it's easy to forget that they are mere approximations
@カラスKarasu
@カラスKarasu 7 жыл бұрын
You are every nerd's dream teacher
@sunflower4031
@sunflower4031 3 жыл бұрын
i'm a nerd and you have a point
@Amir_Plays_non_stop
@Amir_Plays_non_stop 3 жыл бұрын
@@sunflower4031 Nerds don't play among us...
@gatedrat6382
@gatedrat6382 3 жыл бұрын
@@Amir_Plays_non_stop ??? huh
@Amir_Plays_non_stop
@Amir_Plays_non_stop 3 жыл бұрын
@@gatedrat6382 dont huh me its true nerds just study no time for among us
@DrewWalton
@DrewWalton 2 жыл бұрын
@lupo "flipping true" I see what you did there.
@high_runner
@high_runner 3 жыл бұрын
Using propagation delay of gates to build an edge detection circuit always reminds me of the quote, "when life gives u lemon, make lemonade out of it"
@usersn300
@usersn300 2 жыл бұрын
Nicely put!
@ElectroProjects
@ElectroProjects 2 жыл бұрын
@@usersn300 really
@chitlitlah
@chitlitlah Жыл бұрын
@@ElectroProjects Indubitably.
@w花b
@w花b Жыл бұрын
I hope these gates are powered by lemon too then.
@TerrisLeonis
@TerrisLeonis Жыл бұрын
I know I've been doing too much redstone circuitry in Minecraft when I think "oh yeah, 1 tick inverter delay, that makes perfect sense"
@DJayDiamond
@DJayDiamond 5 жыл бұрын
After 3 months of nonsense lectures at uni it take me about 20 minutes of watching your videos on latches and flip flops to completely understand it! Thank you so much for making these :D
@nd685
@nd685 4 жыл бұрын
Same here!!
@gsilos
@gsilos 3 жыл бұрын
im watching this today, when im 40. and this kind of video makes me to think that sometimes the purpose of a uni is not to teach us, but just to take our money and time. :( but im not sure about this feeling... maybe I changed a lot since my uni times... maybe, back in that time, when I was younger, I was not ready to listen. but I will never know, because I never saw someone teaching eletronics like Ben does in my whole life. even in the uni times. maybe, back In my uni times, I could not listen because I didnt see teachers like Ben. His energy to teach us is magic.
@iljadenisovs4659
@iljadenisovs4659 3 жыл бұрын
Same here!
@lucasramirez320
@lucasramirez320 2 жыл бұрын
Ben explains Better than my Prof who has a Phd
@andy982183
@andy982183 Жыл бұрын
​@@gsilos Same here, I feel we need a good mentor in our life to show us path.
@p1nesap
@p1nesap 8 жыл бұрын
man that breadboard time machine is crazy!
@yerriswamyk.m6530
@yerriswamyk.m6530 8 жыл бұрын
You are wonderful teacher , keep posting videos and make us educate, can you make a video on pull up and pull down resistors.
@tberry7348
@tberry7348 6 жыл бұрын
yerriswamy k.m he discussed that pretty thurally in previous videos in the play list.
@gokuvegeta9500
@gokuvegeta9500 3 жыл бұрын
@@tberry7348 I couldn't find it
@zrt3128
@zrt3128 3 жыл бұрын
@@gokuvegeta9500 basically, in a logic circuit you need to have either a 1 or a 0, you can't just disconect a wire, cuz that'll make it go to a random state. the pulldown resistor is conected to ground, so by default, the value is 0,but when the 1 comes in it will take 1 as the value it'll work with because of the resistor
@ElectroProjects
@ElectroProjects 2 жыл бұрын
.
@ElectroProjects
@ElectroProjects 2 жыл бұрын
.
@aidanstanford6742
@aidanstanford6742 5 жыл бұрын
It feels like I learn more from these videos than from school, imagine that!
@kubatrojanowski1187
@kubatrojanowski1187 3 жыл бұрын
My thoughts exactly
@dakotahrivers6640
@dakotahrivers6640 3 жыл бұрын
the edge detector is so clever. Never would have thought to exploit the delay in an inverter to create a quick pulse.
@silverbladeii
@silverbladeii 3 жыл бұрын
what amazes me is that Redstone is so similar to all this. The first flip flop I learned in bedrock edition is a d flip-flop
@okiedokie9430
@okiedokie9430 2 жыл бұрын
Amazing how you explain all these things step by step! I wish you were my teacher years ago. If they explained electronics the way like you do then my country would probably be on Mars already.
@antonnym214
@antonnym214 8 жыл бұрын
Very nice explanation of the flip-flop! I enjoyed learning about the delay which causes the true condition on the AND gate output. Very cool!
@amirdaniali4065
@amirdaniali4065 4 жыл бұрын
Thank you Ben for making these amazing set of videos available for anybody on the internet who just wishes to learn. I can't describe how much this means to me. THANK YOU.
@nilupulperera
@nilupulperera 6 жыл бұрын
Excellent! Everybody studying computer science must watch these video series. Thank you so much for your effort and time. Kindly think about to do more related to this topic. You are an extraordinary teacher.Thank you again Ben.
@ThePenguinsRpurple
@ThePenguinsRpurple 5 жыл бұрын
This is SUPER helpful. I'm taking a computer architecture class online and didn't understand the book. This cleared it up for me.
@WhitEagle7
@WhitEagle7 6 жыл бұрын
dude I kid you not I left computer engineering in favor of physics because my instructor couldn't explain this well enough! in 3 videos that took me about 30 minutes to watch I got it all!
@Mate-mate
@Mate-mate 4 жыл бұрын
This is a wonderful explanation of D flip flop. As a computer science student, I salute to you for this amazing video!
@JonathasPatrick
@JonathasPatrick 5 жыл бұрын
Man that was helpful AF, your explanation helped me to build a d flip-flop that transitions from 1 to 0 instead of 0 to 1, just replace the "And" gate with an "XNOR" gate
@himprakashdas4482
@himprakashdas4482 5 жыл бұрын
You make things so much simple with those circuits. Thank you so much.
@christianmiranda331
@christianmiranda331 2 жыл бұрын
Beautifully explained! Makes me shiver how it was explained so simply.
@canofsoda
@canofsoda 7 ай бұрын
I just got an electronic kit and ive been binging these videos. Ben Eater is definitely to 50 people ever. like everyone else who's commented on his videos has said, everything just makes sense. he doesn't tend to explain things that don't need to be explained, and the things that do need to be explained are explained at the right speed, at the right time. i wish i could be in his class
@burhanuddin127
@burhanuddin127 2 жыл бұрын
This guy is so so so so so underrated.... I challenge no one is explaining this kinda topics currently on the you tube.
@johndoe-bq1xt
@johndoe-bq1xt 5 жыл бұрын
Your videos are great because they're bringing me back "To - The - Days". In my COMPE270 Logic Design Class, we did all our designs on LogicWorks software. Then we did the real circuits next semester in the COMPE470L Lab. I remember my fried fried the logic chip network and a few capacitors by accidentally connecting the network up to the 15v supply instead of the 5v supply. The electrolytic caps all smelled like baked bread! Oh the GooD times......
@thehighscore6218
@thehighscore6218 2 жыл бұрын
Wow, its amazing how easy it was to learn this because to a clear and able to show it using your circuts. If only you were my electrical professor.
@eliphusmeko9263
@eliphusmeko9263 7 жыл бұрын
thanks for your support towards my understanding Mr Ben
@Bestcuriosity_1
@Bestcuriosity_1 6 жыл бұрын
Love your all tutorial sir, Your way of teaching with practical approach is fabulous We would love to see more videos of electronic and communication. Thank you
@Rotorbladesus
@Rotorbladesus 7 жыл бұрын
Thank you for posting this! This is exactly what I need to further expound on a circuit I'm building. I will post a link to a video of the circuit if/when I get it functioning. Thank you.
@hightttech
@hightttech 4 жыл бұрын
VERY WELL DONE! Needed a little refresher during troubleshooting, and this video was perfect.
@kunwarfk
@kunwarfk 7 жыл бұрын
You are such an awesome teacher!!! I am so grateful for this video.
@walshrd
@walshrd 4 жыл бұрын
Excellent video. This guy knows exactly how to teach electronics. None better.
@streamindegenerate4339
@streamindegenerate4339 5 жыл бұрын
Another great video, 'events happening on the rising edge of the clock input/pulse' should make sense to usual programmers
@pressgreen
@pressgreen 5 жыл бұрын
This is the best thing I have ever seen. You should get an award or something for these videos.
@emberleona6671
@emberleona6671 3 жыл бұрын
Yes. I agree I wish I had a non profit openinvent.club website and I would give ben eater a commendation.
@josedominguez2021
@josedominguez2021 7 жыл бұрын
Explain this is not easy, u do so clear. Thanks. I love Relays.
@electra.academy
@electra.academy Жыл бұрын
Gee - and I always thought that D-Latch and D-FLipFlop were identical and were just German/English terms. Thanks a lot!!! very well done video. I immediately corrected my simulator....
@dkfactotum
@dkfactotum 4 жыл бұрын
What a coordination! I'm pretty sure it would take me tens of tries to follow those signals at the same time XD
@BrendaEM
@BrendaEM Жыл бұрын
Rewatching these videos. Thanks Ben! It's probably not necessary, but the output could be put through a comparitor for a square pulse, though a Shmitdt trigger would be better, yet, I will try to use a an Op-amp to make a comparitor.
@AshWeber-Campbell
@AshWeber-Campbell Жыл бұрын
Thank you so much! I got covid and missed my lectures going over this, and your videos helped a lot. thank you
@naveenkakada
@naveenkakada 4 жыл бұрын
It's amazing to see it practically on breadboard
@rosshiscock8317
@rosshiscock8317 7 жыл бұрын
You're an excellent teacher, thank you very much for taking the time to make these videos.
@napoleon3242
@napoleon3242 3 жыл бұрын
these videos are a must-watch for anyone interested in electronics.
@harry_rotter
@harry_rotter Жыл бұрын
omg, thank you so much! It´s the night before my computer architecture exam and you saving me right now.
@jamesmccoy8568
@jamesmccoy8568 3 жыл бұрын
Thanks for explaining how the clock edges are detected, that was a mystery to me
@paoarsu2363
@paoarsu2363 6 жыл бұрын
Ben Eater is the best thank you so much and keep teaching please!
@WhoWantsToKnow81
@WhoWantsToKnow81 4 жыл бұрын
I love the fact that Ben's explaining how a major component in a 8-bit shift register works. ;)
@francoisguyot9770
@francoisguyot9770 2 жыл бұрын
You'rte a great teacher, Ben. I love the way you evolve from the SR to the D flip-flop by incrementally adding complexity to the circuit showing the evolution of design techniques.
@sachitdalwadi
@sachitdalwadi 2 жыл бұрын
You have no idea how helpful your videos to me thanks
@valizeth4073
@valizeth4073 Жыл бұрын
Really appreciate these videos, our professors lectures are a bit hit or miss and some areas are difficult to understand. But your videos are excellent in quality!
@anmolpurty2416
@anmolpurty2416 3 жыл бұрын
Very nice explanation. It leaves no room for any doubt. Great work Sir.
@cindypangs4173
@cindypangs4173 11 ай бұрын
This makes so much more sense than whatever my professor was trying to do...if i pass it is all due to your videos!
@theankushjain
@theankushjain 8 жыл бұрын
please make more and more videos that explain how a computer works internally. Your videos are really helping me in my MCA. Also make video on how different types of registers work.
@AaronLin721
@AaronLin721 2 жыл бұрын
Absolutely amazing. You make EE fun to learn. Thank you so much.
@RobUttley
@RobUttley 4 жыл бұрын
I feel like a little light went on there (no pun intended). I wish this had been explained to me this way in the past. Thank you, I really appreciate that. Great explanation.
@RyanAndersonTechnical
@RyanAndersonTechnical 3 жыл бұрын
superb content - thank you for making this so consumable for the next generation(s)
@ucantSQ
@ucantSQ 5 жыл бұрын
Does anybody else feel the excitement building as the pieces come together?
@Mayank-mf7xr
@Mayank-mf7xr 5 жыл бұрын
surely
@alvinasef
@alvinasef 5 жыл бұрын
Thank you for the detail explanation. Keep up the great work !!
@jackardis3797
@jackardis3797 5 жыл бұрын
yet more proof that capacitors are magic and anyone who understands them is a wizard. I couldn't make any sense of that edge detector thing.
@fifaham
@fifaham 2 жыл бұрын
@12:12 the width of the Pulse is conceptual because that depends on the input of the gate receiving that pulse. So the width will span the horizontal level of the AND gate input, and the conceptual level starts at the trigger level and ends at it, then it rolls down after falling below that level.
@Dynamik100
@Dynamik100 4 жыл бұрын
the best explanation ever, congratulations!
@victorpatrick1217
@victorpatrick1217 2 жыл бұрын
Very cool, I have done my electrical, but I am very interested to learn electronics and your teaching is superb, so please do more basic Videos👍
@TheLunaLockhart
@TheLunaLockhart 5 жыл бұрын
Logisim broke when trying to make a DFF in this configuration, so I emulated the function by running 7-off, 1-on with the clock component at 8hz, could be 16 if I wanted to get even closer to instantaneous ticking. Anyway, thanks for the work you do! It's really helping me understand all this crazy stuff that goes on in computers and the embedded solutions (usually SOC) found in many devices we don't think of as computers
@TheLunaLockhart
@TheLunaLockhart 5 жыл бұрын
(yes, I know there's a DFF module, but building it is an important part of learning its function)
@emberleona6671
@emberleona6671 3 жыл бұрын
@@TheLunaLockhart did you try the logic gates edge detector instead of the resistor capacitor version of edge detection. Also lower the clock speed in the sim. Electronics workbench ??
@michaelbradley7621
@michaelbradley7621 Жыл бұрын
In my digital electronics class we were never taught that we needed an edge detector. I always wondered why we could change on the rise from 0 to 1 but not when clk was at 1.
@Rooth9056
@Rooth9056 2 жыл бұрын
You made a great job please don't stop share videos
@vuquanghuy55
@vuquanghuy55 2 жыл бұрын
your video was well prepared and has a best quality
@F3udF1st
@F3udF1st 4 жыл бұрын
T-flipflops are very useful for counters!
@jonesstefan
@jonesstefan 4 жыл бұрын
goddamn bro I learnt faster and better from your videos about latches and flip flops than the lectures of my drunk teacher xD thank you!
@fasligand7034
@fasligand7034 6 жыл бұрын
I think the inverter-delayed flip flop would also set off on a "downward" spike (that is input switching from 1 to 0). Not saying this would be a bad thing, possibly leading to doubling the actual clock frequency
@asdfplkjh5541
@asdfplkjh5541 Жыл бұрын
You are the god of digital design much better tha my professor explains nothing on hour long classes lol
@saarike
@saarike 3 жыл бұрын
Excellent information about interesting circuits! I learned something new. My humble thanks.
@weststarr2046
@weststarr2046 7 жыл бұрын
Marvelous way of teaching....blessings friend!
@ReplicateReality
@ReplicateReality 4 жыл бұрын
that edge detector blows my mind.
@FandCCD
@FandCCD 7 жыл бұрын
Ben, thank you so much for this video. this video was exactly what I needed to begin building my "atomic clock" (WWVB Receiver/Decoder). I'm documenting my project here on KZfaq. I'd love for you to take a look and let me know what you think. Thanks again for sharing your knowledge thru all your great videos!
@YuriccAmorim
@YuriccAmorim 3 жыл бұрын
amazing very good studying digital electronics watching these support videos helps a lot in learning
@bavishkasathyanjana1384
@bavishkasathyanjana1384 2 жыл бұрын
wow and wow... your teaching skill is amazing sir
@nonamex3052
@nonamex3052 7 ай бұрын
Love you ben(no homo). Just the way you explain things i can understand instantly without having to rewind most of the time. Your way of teaching needs to be studied
@user-rr7xc9ih3r
@user-rr7xc9ih3r Жыл бұрын
i literally hated electrical coz of the way it was taught in our uni, you just made it so damn interesting, tysm
@fifaham
@fifaham 2 жыл бұрын
@10:59 >>> this method of pulse creation is used in one of the security systems to detect the signature of the acousto-magnetic pulse signature - so by controlling the width of the generated pulses (via a variable cap controlled by an MCU) and taking iterated measurements (Assembly code is used as C code is not fast enough) the wave signature of the system can be detected and an alarm will be issued once the signature is matched to the pattern stored in the EEPROM of the chip. Digital-CAPs are available from vendors that can generate variable cap values through the SPI or UART port.
@divyapatel4498
@divyapatel4498 5 жыл бұрын
very good explanation. Thank you very much. Keep Sharing your knowledge
@saurav_255
@saurav_255 3 жыл бұрын
That and gate edge detector did work .. . And I had used just one
@MantisRay861
@MantisRay861 8 жыл бұрын
nice tutorial, starting to make sense!
@shantonudutta9726
@shantonudutta9726 Ай бұрын
The delay of NOT gate switching its state being used for edge detector reminds me of "It's not a bug, it's a feauture"
@TheMechatronicEngineer
@TheMechatronicEngineer 5 жыл бұрын
At 3:08 I nearly fell off my chair! Way to go, man!
@Amir_Plays_non_stop
@Amir_Plays_non_stop 3 жыл бұрын
HAHAHAHAHAHA same bro. If u know it is a full microcontroller, and when I saw it I got scared don't ask me why XD
@NickiRusin
@NickiRusin 3 жыл бұрын
it's a powerful moment, for sure
@QDWhite
@QDWhite 4 жыл бұрын
Interesting note, the RC edge detector is effectively a high pass filter which lets the high frequency components of the square wave across. It could also be viewed as a circuit that takes the derivative of the square wave. At steady low state, the derivative is zero. When a rising edge hits, the rate of change is high but then quickly goes back to zero with the steady high state.
@emberleona6671
@emberleona6671 3 жыл бұрын
In music is this called the envelope. Or a triangle wave.
@PutinGayTwin
@PutinGayTwin 6 жыл бұрын
damn yo! better than the prof and the teaching assistant that i have. Subscribed!!!!
@albyshelly857
@albyshelly857 6 ай бұрын
To detect a rising edge can we use an AND gate with one input the clock and the other input is the output of the AND gate itself but passed through a NOT gate. So when the clock is high the output of the AND gate will be HIGH only till it's complement which is a LOW reaches the other input of the AND gate effectively making the output of AND gate LOW again.
@ekaos5099
@ekaos5099 4 жыл бұрын
The turn on voltage of the individual gate you address is 'approximately" .5 of the max voltage of the IC. Which in it self is a time lag as, we all know there is no-such-as-thing-as instantaneous voltage change. A square wave is a clipped sign wave no matter how fast you clock it.
@nagadasarivenkatesh9669
@nagadasarivenkatesh9669 5 жыл бұрын
Wonderful sir! really amazing explanation!
@konozbinrashid7774
@konozbinrashid7774 4 жыл бұрын
Brilliant as always.
@ezio_g
@ezio_g 5 жыл бұрын
I was about to add my comments when I realized that the next guy had already said it. You are a very good teacher. You don't waste time; you build up your lessons carefully to get to main point of the class like a professor. I've seen several videos and they are very easy to watch and followed even when I'm completely lost or I'm completely aware of what is happening. I would like to start building up my digital electronics skills and need to buy all these ic's, protoboard and the such. I need to build up my bench and I wonder where do you think I should go to get all this peaces of parts, component and tools to follow you lectures. I need every thing like meter, scopes, parts, board, cables and the such.....I'm sure you know what I mean. I have nothing and need to start from zero. The only thing I have is my brains and my skills as a technician but I need every thing else. I want to build the 8 bit computer and I have other project that you may be able to assist me with. Thanks for you support and thank for these amazing lectures.
@emberleona6671
@emberleona6671 3 жыл бұрын
Openinvent.club
@ObiWanBillKenobi
@ObiWanBillKenobi Жыл бұрын
Reminds me of Doc's 1885 refrigerator in BTTF3. 😊
@fiveoneecho
@fiveoneecho 3 жыл бұрын
This is going from using my electrical engineering intuition to using my Redstone intuition and I’m not sure if that’s what is supposed to be happening?
@toastom
@toastom 3 жыл бұрын
Redstone is just logic circuits too. That's fine, and if that helps you study then even better!
@danser_theplayer01
@danser_theplayer01 Жыл бұрын
10:00 I build it in a game with logic gates that have a speed of 40 "ticks" fancy word for hertz. When I press a button it takes 1 tick to activate the AND and to activate the NAND/NOR fed into AND then another 1 tick to deactivate AND because NAND changed to 0, which results in a 1 tick pulse and complete ignore afterwards unless you stop pressing the button and press it again. It's the only way to do that because the game doesn't have voltages or wires or current etc. It's either on or off, and connections are wireless (very convenient).
@Gotenham
@Gotenham 4 жыл бұрын
fantastic explanation thank you
@Skiddla
@Skiddla 4 жыл бұрын
really easy to edge my rising clock pulse to this video
@jesusarias4320
@jesusarias4320 3 жыл бұрын
I saw you addressed the racing issue in the JK-FF videos, so I just want to remark that D-FFs also have to be of the master-slave type to avoid the same problem. Also, please, put some decoupling capacitors next to ICs. 74LSs are more forgiving, but if you replace them with, let say, 74LVCs, or even 74HCs, decoupling capacitors are a must.
@davidsk8s77
@davidsk8s77 6 жыл бұрын
At 14:15 you show a sweet set of needle nose pliers, where can I get my hands on some? Thanks!
@Uniquelife_17
@Uniquelife_17 5 жыл бұрын
you are great man god bless you!!! i am big fan of you from india
@aamirbangash985
@aamirbangash985 4 жыл бұрын
You made my Day Ben!
@soheilmohammadi5876
@soheilmohammadi5876 3 жыл бұрын
If you could make packages of the components used for these lessons and start selling them online it would be amazing! Now when I wan to acquire them I have to buy a lot of them without actually even knowing how many I need in you next videos 😅
@Galluxi
@Galluxi 7 жыл бұрын
What is the point of the resistor there?
@GloomEmbraced
@GloomEmbraced 7 жыл бұрын
From the video, the pulse width is proportional to the resistance and the capacitance, roughly t = R x C. The lower the resistance, the faster the pulse. The resistance of the wire alone possibly results in a pulse so quick that the logic gates don't see it. The other way to do it would be to put a bigger capacitor there, but resistance is easier.
What is a Flip-Flop?  How are they used in FPGAs?
24:13
nandland
Рет қаралды 158 М.
New model rc bird unboxing and testing
00:10
Ruhul Shorts
Рет қаралды 24 МЛН
Heartwarming Unity at School Event #shorts
00:19
Fabiosa Stories
Рет қаралды 20 МЛН
마시멜로우로 체감되는 요즘 물가
00:20
진영민yeongmin
Рет қаралды 33 МЛН
Astable 555 timer - 8-bit computer clock - part 1
27:51
Ben Eater
Рет қаралды 1,5 МЛН
SR latch
12:58
Ben Eater
Рет қаралды 1,9 МЛН
D-Flip-Flop
15:26
Zahi Haddad
Рет қаралды 210 М.
Latches and Flip-Flops 5 - D Type Flip Flop
13:50
Computer Science
Рет қаралды 129 М.
Ep 058: Timing Diagrams of Flip-Flops and Latches
15:06
Intermation
Рет қаралды 50 М.
Hacking a weird TV censoring device
20:59
Ben Eater
Рет қаралды 3 МЛН
D latch
9:16
Ben Eater
Рет қаралды 696 М.
How Do Computers Remember?
19:32
Sebastian Lague
Рет қаралды 6 МЛН
Latch Circuit - Wake up + 0 Power Consumption (useful circuit)
9:16
New model rc bird unboxing and testing
00:10
Ruhul Shorts
Рет қаралды 24 МЛН