No video

How to Create VGA Controller in Verilog on FPGA? | Xilinx FPGA Programming Tutorials

  Рет қаралды 51,215

Simple Tutorials for Embedded Systems

Simple Tutorials for Embedded Systems

Күн бұрын

Пікірлер: 119
@carlmhurd
@carlmhurd 5 жыл бұрын
It may be useful to note that you have no need of a VGA connector on the board. You are simply need 15 I/O ports as you are writing the driver for those ports. You don't need to buy an external VGA port, you can simply cut open a VGA cable and make your own.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Hello Everyone! Sorry for the late post, I had to take some time off in between! Thumbs up if you enjoyed the video! See you next time!
@adityasonavane2168
@adityasonavane2168 4 жыл бұрын
Hey man your board has an HDMI port as well and the world really needs an hdmi tutorial so please do that soon!
@kevinhevans
@kevinhevans 5 жыл бұрын
Damn, I definitely needed this video in EE214 last semester. You're better at explaining this than Clint, that's for sure.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Well that's unfortunate that it came a semester late, hopefully it will be good use for future students! Not sure about being better than Clint, but again thanks!
@m1geo
@m1geo 3 жыл бұрын
Your HSYNC and VSYNC are reversed for VGA. They should be negative pulses. You must have tweaked this to get the VGA working.
@rrowan327
@rrowan327 5 жыл бұрын
Hi Greidi, I really enjoyed this video. It lays out a nice frame work to be able to experiment with. Congrats on the new job and all the best to you and your family. Rick
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Thank you Rick! Hope you’re doing well! Let me know if this project works out for you, you could build a retro style ping pong game using this tutorial :D.
@alfredomamani2269
@alfredomamani2269 Жыл бұрын
I have recentely found your KZfaq Channel and I think it has astonishing information about FPGA. I hope you could continue sharing your expiriences and work. I am glad. Hope you best wishes! n.n
@shloktadilkar5536
@shloktadilkar5536 4 жыл бұрын
Can you please make a video on multiplication of 3×3 matrix using fpga
@kingsayer1449
@kingsayer1449 5 жыл бұрын
Hey I’m here from that guy you played with on Fortnite named nick eh 30 🙃🙃🙃
@rahmatdwiputra
@rahmatdwiputra 5 жыл бұрын
Are you gonna post more videos? I'm too hyped for this!!
@521bingo
@521bingo 5 жыл бұрын
Looking forward to your update!
@SciHeartJourney
@SciHeartJourney 4 жыл бұрын
Thank you for the detailed explanation of the code. This is really helpful.
@pradumnagrawal1597
@pradumnagrawal1597 5 жыл бұрын
Nick eh 30 raid Btw that intro is sick and ur video quality❤️🔥
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Thank you! I really appreciate it!
@Sorrel555
@Sorrel555 4 жыл бұрын
You rock man, thank you so much. And thanks for keeping it simple.
@sylarlao1201
@sylarlao1201 5 жыл бұрын
Could you do some videos about the input output delay constraints...that’ll be extremely helpful. Thx.
@ElectronicswithProfMughal
@ElectronicswithProfMughal 3 жыл бұрын
Will USB-C to VGA cable (dongle) work? Thank you for the video.
@nickjain4992
@nickjain4992 4 жыл бұрын
I liked you channel where are you nowadays?
@delicious_lunch3823
@delicious_lunch3823 4 жыл бұрын
I don't know who the heck Nick Eh 30 is, and I'm here cause I'm trying to implement VGA in my FPGA core.
@phm1382
@phm1382 2 жыл бұрын
can you share your VGA project files? I would like to use it for students' labs.
@dawidstolarski256
@dawidstolarski256 5 жыл бұрын
Heya, any advices on how to add a switch and multiple patterns (Switchable via switch)? Can't figure that one out :p
@ghanshyamc463
@ghanshyamc463 Жыл бұрын
Why is the code not linked?
@goofypettiger
@goofypettiger 4 жыл бұрын
Excellent information, thank you.
@renanlarrieu
@renanlarrieu 4 жыл бұрын
Please, consider do a tutorial about how make a sine generator!!!
@vilaparthyvenkatkamal5175
@vilaparthyvenkatkamal5175 3 жыл бұрын
Please continue uploading more videos please
@ayubatahiru7022
@ayubatahiru7022 Жыл бұрын
Thank you so much for your insight tutorials. Can you please suggest a verilog tutorial book for me?
@wizzerdddddddddd
@wizzerdddddddddd 4 жыл бұрын
Could you do a video on making an HDMI controller?
@rayann.669
@rayann.669 5 жыл бұрын
YOU WERE ON NICK'S STREAM!!! ,LOL
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
I was indeed! Thank you!
@yuryeuceda8590
@yuryeuceda8590 4 жыл бұрын
New subscriber. What software do you use?
@eaiz
@eaiz 5 жыл бұрын
Anyone else from nick eh 30s stream?!?
@theradsports4489
@theradsports4489 5 жыл бұрын
MoneyTraks yup
@dariocardajoli6831
@dariocardajoli6831 3 жыл бұрын
wait wait i know him and im an fpga enthusiast .. how are them connected, again?...
@dariocardajoli6831
@dariocardajoli6831 3 жыл бұрын
@@l7srso892 bruh cmon i need aswers.
@dariocardajoli6831
@dariocardajoli6831 3 жыл бұрын
@@theradsports4489 anyone???? :/
@LordLeone13
@LordLeone13 5 жыл бұрын
Great video sir.
@pradeeptidke420
@pradeeptidke420 4 жыл бұрын
Sir pls make similar video for HDMI controller.
@mbuaesenju8514
@mbuaesenju8514 2 жыл бұрын
Thank you for the video
@12345678912345678994
@12345678912345678994 5 жыл бұрын
Thank You So Much!!!!!!!!!! Excellent VIdeo
@mekbots7607
@mekbots7607 2 жыл бұрын
could you please make video about serial port in verilog ,thanks
@drbalontotis2474
@drbalontotis2474 Жыл бұрын
so thanks bro ✌
@eclipsy906
@eclipsy906 5 жыл бұрын
Where you the guy on Nick Eh 30’s stream????
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Yes! :)
@GORF_EMPIRE
@GORF_EMPIRE 4 жыл бұрын
I'm not sure how this actually works since VGA requires negative sync..... ie... goes low in sync. Am I missing something since the wave form you show agrees with me but the test bench does not.
@kingsayer1449
@kingsayer1449 5 жыл бұрын
Ehhhhhh teammmm
@sypherrelay5628
@sypherrelay5628 5 жыл бұрын
You played with Nick he 30
@lilvampy8961
@lilvampy8961 5 жыл бұрын
SypherLEGEND oh yeah yeah
@vespital
@vespital 5 жыл бұрын
Who’s from nicks stream?
@detlevmustinger6328
@detlevmustinger6328 8 ай бұрын
will you share the source code?
@drippiemikk
@drippiemikk 2 жыл бұрын
Excellent video! Wish I could make this myself on my channel, unique, that's why I'm jealous and I subscribed to your channel! Keep putting out content like this, greetings from Denmark!
@arynnette
@arynnette 5 жыл бұрын
Nick Eh 30! Your a smart young man.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Thank you! Ehhh!
@SpiderJerusalem2342
@SpiderJerusalem2342 2 жыл бұрын
Is the source available somewhere?
@proxy1035
@proxy1035 5 жыл бұрын
how do you even get all these numbers at the start? i'm trying to generate a VGA signal with an FPGA but want to have a smaller resolution. something like 320x240 (half VGA), what would the pixel clock be like, the sync pulses, front/back porch, etc. i didn't notice anything in the video that says how you calculate that. I don't think it's good to assume everyone will use 640x480, i've seen people who were able to generate much smaller and rather odd resolutions with microcontrollers. (128x96, 64x64, and probably more)
@proxy1035
@proxy1035 5 жыл бұрын
why only do VGA in 640x480? what if you want to change the resolution to something strange/less common? like 320x200 or 256x240, etc it should all be possible with the correct timing.
@Sorrel555
@Sorrel555 4 жыл бұрын
Does anyone know WHY we do a time constraint file? Or if we need one?
@ProMichael247
@ProMichael247 5 жыл бұрын
Nick Eh 30!!!
@bigboolin6606
@bigboolin6606 4 жыл бұрын
Is it possible to display various texts like a long dialogue without having to set certain pixels on the screen, like an indie game involving npc conversations, how much work would it take?
@LearningEmbeddedWorld
@LearningEmbeddedWorld 5 жыл бұрын
So how is embedded software engineer's salary do they make money more than application developers
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Based on the average US statistics, application developer average salary is ~68k/year and for Embedded software engineer it is ~80k/year. So we can conclude that embedded software engineers earn more on AVERAGE. Hope this helps!
@wisnueepis3593
@wisnueepis3593 5 жыл бұрын
Could yo do image processing with fpga using webcam please
@vazquezelectronics8334
@vazquezelectronics8334 5 жыл бұрын
Can I use the same code on icestick from lattice?
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Yes, as long as you are dealing with Verilog HDL, and of course your XDC file would be different. Give it a try, and let me know how it went!
@vazquezelectronics8334
@vazquezelectronics8334 5 жыл бұрын
Ok thanks
@dmitryponyatov2158
@dmitryponyatov2158 4 жыл бұрын
What RAMDAC system do you recommend if I want to make a cheap retro-style computer based on the STM32F107 MCU? I want to use FPGA for GPIO and custom peripheral processing, and VGA output is the first candidate. I select F107 as the cheapest MCU with Eth included, but it has no FSMC. The closest STM32F103 with FSMC has 2x price and not in local stocks now.
@dmitryponyatov2158
@dmitryponyatov2158 4 жыл бұрын
I want to use 332RGB with 640x480 or 800x600, both of them require to have not less than 512K of VRAM, and unused memory maybe cab used for hardware sprites. I did some search, and can't find cheap RAM with required size, maybe some hardware RAMDACs still exists?
@pradeeptidke420
@pradeeptidke420 4 жыл бұрын
How to display 16 bits per pixel data with vga controller?
@xav500011
@xav500011 5 жыл бұрын
is there some VHDL code somewhere that will program a FPGA to act as a VGA scandoubler that will convert a vga input with 15khz refresh rate to a vga output with a refresh rate of 31khz
@domingo8912
@domingo8912 5 жыл бұрын
eh team ❤️
@Olivia0690
@Olivia0690 5 жыл бұрын
EHHH
@uccoskun
@uccoskun 4 жыл бұрын
Hello Greidi: Can you update the link of the FPGA development board. It is broken now.
@kle7571
@kle7571 5 жыл бұрын
Here from nick eh 30 !
@DenFlyvendeGris
@DenFlyvendeGris 5 жыл бұрын
EHHH!!!
@thomasparker4109
@thomasparker4109 Жыл бұрын
where knew video?
@jags6
@jags6 5 жыл бұрын
Ehhhhhh team!!
@coal5228
@coal5228 5 жыл бұрын
Who else is from Nick's stream? #EhTeam
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Ehhh!
@dariocardajoli6831
@dariocardajoli6831 3 жыл бұрын
HOW DID THAT HAPPEN
@romehead3419
@romehead3419 5 жыл бұрын
The eh team is the best team.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
True!
@jajajaj666
@jajajaj666 2 жыл бұрын
Hi can you share te code? Thanks
@doranlu1374
@doranlu1374 5 жыл бұрын
Hey, dude. May I have the code? THX VERY MUCH.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Hello, at this point I’ve not yet created a library online for all the code seen in tutorials. I encourage you to go through the tutorials and work them through to gain a solid understanding of the concepts! :)
@doranlu1374
@doranlu1374 5 жыл бұрын
@@SimplyEmbedded Aight, your help changed my college life, bro.
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Glad to hear that!
@SigmaC
@SigmaC 3 жыл бұрын
is there a way to get your code?
@p3ter408
@p3ter408 5 жыл бұрын
NICK EH 30 WHO ELSE !?
@thenewtechguy8526
@thenewtechguy8526 5 жыл бұрын
Hey Simply Embedded do you have a Discord server for help support?
@wearevenom1344
@wearevenom1344 5 жыл бұрын
Im here cause of nick eh 30
@hydrocrackd7687
@hydrocrackd7687 5 жыл бұрын
Nick Your Playing with him
@IiIytIi
@IiIytIi 5 жыл бұрын
Nickeh30yyyyy
@lakeland238
@lakeland238 5 жыл бұрын
Came from nick eh 30
@theradsports4489
@theradsports4489 5 жыл бұрын
From nick eh 30
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Ehh!!
@salvulcanosnumber1fan
@salvulcanosnumber1fan 5 жыл бұрын
NICK EH 30 RAID!!!
@kylekiczales6861
@kylekiczales6861 5 жыл бұрын
eh team anyone?
@akaSean
@akaSean 5 жыл бұрын
NICKKK
@jaytvyakabra
@jaytvyakabra 5 жыл бұрын
Nick eh 30 brought me here
@MyMy-cz7mx
@MyMy-cz7mx 5 жыл бұрын
Nick eh 30 anyone?! No just me , ok ;(
@deathringer18YT-Sub-Here
@deathringer18YT-Sub-Here 5 жыл бұрын
Who is from NickEh30
@rheaf1121
@rheaf1121 5 жыл бұрын
Nick eh 30000000000
@Shmitester
@Shmitester 5 жыл бұрын
Nick eh 30 anyone ?
@brendanshannon5054
@brendanshannon5054 5 жыл бұрын
NICK EH 3000000000
@JamesD08
@JamesD08 5 жыл бұрын
Ur in a random dio with nick eh 30
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Yes ! Thank you!
@cyrilkumpuckal1592
@cyrilkumpuckal1592 9 ай бұрын
i mean word for word I copied this code just to get something fucking working I mean its unbelivieable then I copy the code word for word and my Hsync and Vsync dont fucking move I mean I might just fucking dropout
@SciHeartJourney
@SciHeartJourney 4 жыл бұрын
Does he really type that fast, or am I just slow? LOL!
@exars
@exars 5 жыл бұрын
nick eh 300
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Ehh! Thank you for your support!
@Yaboyferret14
@Yaboyferret14 5 жыл бұрын
Nick eh 30
@rod9424
@rod9424 5 жыл бұрын
nick eh 30
@Aredium.
@Aredium. 5 жыл бұрын
Nick eh 30!!!! Ehhhh
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Ehhhhh!
@thyrenaissanceman3052
@thyrenaissanceman3052 2 жыл бұрын
way too fast.
@treasureomolewa3157
@treasureomolewa3157 5 жыл бұрын
Nick eh 30 anyone?
@kevinalejos2405
@kevinalejos2405 5 жыл бұрын
Nick eh 30
@SimplyEmbedded
@SimplyEmbedded 5 жыл бұрын
Ehhh! Thanks!
Driving a VGA Display?! Getting started with an FPGA! (TinyFPGA)
11:26
How to create a Blinking LED on FPGA? | Xilinx FPGA Programming Tutorials
15:35
Simple Tutorials for Embedded Systems
Рет қаралды 56 М.
黑天使遇到什么了?#short #angel #clown
00:34
Super Beauty team
Рет қаралды 48 МЛН
❌Разве такое возможно? #story
01:00
Кэри Найс
Рет қаралды 3,8 МЛН
SPILLED CHOCKY MILK PRANK ON BROTHER 😂 #shorts
00:12
Savage Vlogs
Рет қаралды 50 МЛН
Blue Food VS Red Food Emoji Mukbang
00:33
MOOMOO STUDIO [무무 스튜디오]
Рет қаралды 19 МЛН
I made a VGA card that blew my mind
15:44
bitluni
Рет қаралды 425 М.
Lesson 104 - VGA Controller
12:29
LBEbooks
Рет қаралды 86 М.
How To Create First Xilinx FPGA Project? | Xilinx FPGA Programming Tutorials
11:21
Simple Tutorials for Embedded Systems
Рет қаралды 140 М.
Creating your first FPGA design in Vivado
27:23
FPGA Therapy
Рет қаралды 73 М.
How to Create PWM in Verilog on FPGA? | Xilinx FPGA Programming Tutorials
5:58
Simple Tutorials for Embedded Systems
Рет қаралды 44 М.
The History of the FPGA: The Ultimate Flex
18:08
Asianometry
Рет қаралды 341 М.
Verilog intro - Road to FPGAs #102
12:08
Electronoobs
Рет қаралды 107 М.
What is a Clock in an FPGA?
18:58
nandland
Рет қаралды 53 М.
Zynq Part 1: Vivado block diagram (no Verilog/VHDL necessary!)
20:00
FPGAs for Beginners
Рет қаралды 21 М.
Basics of Logic Gates | FPGA Concepts
8:16
Simple Tutorials for Embedded Systems
Рет қаралды 11 М.
黑天使遇到什么了?#short #angel #clown
00:34
Super Beauty team
Рет қаралды 48 МЛН