¿Qué es VHDL?

  Рет қаралды 31,596

MindMachineTV

MindMachineTV

4 жыл бұрын

Si quieres utilizar un FPGA a su máximo nivel debes aprender su lenguaje de programación, el cual no es sencillo...
Ayúdame en Patreon: goo.gl/GYb3Jj
Invítame un café: ko-fi.com/mindmachinetv
======================================================
Redes Sociales:
Twitter: goo.gl/LNyICo
Facebook:goo.gl/lcb4Ab
Instagram: goo.gl/fmLa4J
======================================================
Fuentes que hicieron posible este video:
[1] islab.soe.uoguelph.ca/sareibi/...
[2] web.itu.edu.tr/~ateserd/VHDL.pdf
[3] buzztech.in/vhdl-modelling-st...
[4 ]ghdl.free.fr/ghdl/What-is-VHDL...
[5] en.wikipedia.org/wiki/Hardwar...
======================================================
Programas que utilizo:
Adobe after effects
Adobe illustrator
Ableton Live 9
Equipo que utilizo:
Huion 680s
Audio-Technica ATR2500-USB
======================================================
Musica:
/ musicadfondo
Descarga fondos:
/ mindmachinetv

Пікірлер: 48
@lunaroja4703
@lunaroja4703 Жыл бұрын
Wooow te ganaste todo un dislike 👎🏽
@xxjoshuapgxx5828
@xxjoshuapgxx5828 Жыл бұрын
q pdo porque? Está muy bueno el vídeo
@gabrielrte8710
@gabrielrte8710 Жыл бұрын
Loquit@
@jorgem.viasalazar7368
@jorgem.viasalazar7368 9 ай бұрын
pfff 🤡
@jorgeistmo93
@jorgeistmo93 Ай бұрын
Tienes sueño.
@marcosbritoh.5938
@marcosbritoh.5938 4 жыл бұрын
Donde estuvo este canal todo el sexto semestre de mi carreraaaaaa? Esta genial
@Nicole-tw2hg
@Nicole-tw2hg 9 ай бұрын
Más bien me fue útil ahora en mi primer semestre gg
@luisfelipeaquinoquiane271
@luisfelipeaquinoquiane271 3 жыл бұрын
Excelentísima explicación y video. muy completa en su totalidad!!! 😲😲😲 Mucho mejor que una clase sobre este tema que recibí en la escuela una vez
@oscarjuarezbautista
@oscarjuarezbautista 4 жыл бұрын
Me encantaría que hablaras más del tema Y algún buen curso que tengas sobre esto que sea para adquirir conocimientos básicos sobre este tema, agradecería que me recomendaras uno. Sigue así crack :)
@MrMauritoxxx
@MrMauritoxxx 4 жыл бұрын
excelente, papa! espero con ansias ese proximo video cuanto antes! +10
@haroldpena894
@haroldpena894 2 жыл бұрын
Realmente programar en VHDL es algo que le quedé debiendo a mi proceso académico como Ingeniero. Nunca logré comprender ese tema de ajustar los ciclos de reloj. Fascinante ver funcionar la FPGA, pero muy frustrante no haber podido comprender el gran conocimiento que tenía mi maestro de Circuitos Secuenciales. Ojalá se encuentre bien el Ingeniero Jorge Arévalo y más tendiendo en cuenta que se augura un futuro promisorio a nivel tecnológico por la velocidad y escalabilidad que ofrecen las FPGA's.
@miguelrobles7913
@miguelrobles7913 4 жыл бұрын
Muy buen canal de KZfaq, si tengo una duda, no sé si podrías hablar al respecto del futuro de las FPGA, ya que no es que se hablen mucho de estas, que área de acción tiene y temas relacionados. Gracias por el video.
@cavc94
@cavc94 2 жыл бұрын
Aprendí VHL en la carrera, pero nunca fui consciente de todo esto. Se sintió cómo aprender otro lenguaje de programación.
@talzzoft
@talzzoft 3 жыл бұрын
Excelente video hermano
@brunoronaldomoraestrada9743
@brunoronaldomoraestrada9743 10 ай бұрын
Primera vez que escuche de este tema, muy interesante.
@fidelo1_yt77
@fidelo1_yt77 4 жыл бұрын
Hola me encantan tus videos
@enriquecardenas8654
@enriquecardenas8654 2 жыл бұрын
Que tal amigo, hace un tiempo esuché sobre los fpga's, no supe sino hasta que vi algunas explicaciones en youtube. Por lo que acabo de ver, al programar fpga's sirven como microcontroladores, pero me surge una duda, es posible que se puedan ocupar los fpga's como controladores lógico programables(PLC's)?. Espero puedas acalararme la duda.
@fidelo1_yt77
@fidelo1_yt77 4 жыл бұрын
Habrá mas videos del cosmos
@pictrance
@pictrance 4 жыл бұрын
Excelente
@ameliemoreno3712
@ameliemoreno3712 2 жыл бұрын
gracias!
@bryanballadaresr7720
@bryanballadaresr7720 4 жыл бұрын
Un saludo crack :3
@spoileralert9929
@spoileralert9929 4 жыл бұрын
La duda que me quedo es ¿los lenguajes maquinas son los que se utilizan para hacer el firmware? ...
@SaysAnX
@SaysAnX Жыл бұрын
Los diagramas de compuertas lógicas son los diagramas esquematicos o son diferentes?
@joseluismiranda1247
@joseluismiranda1247 3 жыл бұрын
No tienes un curso de VHDL bro? Me sería de gran ayuda
@luispalacio2939
@luispalacio2939 3 жыл бұрын
gracias
@eduardocabreracastro4909
@eduardocabreracastro4909 2 жыл бұрын
Gracias por la información FPGA
@CritickalTvRandom
@CritickalTvRandom 4 жыл бұрын
Yo desde hace años estoy buscando que es lo más básico de lo básico, un lugar por donde empezar, no a aprender, sino que a entender cómo hacer que aparezcan cosas en la pantalla, ¿Voy por buen camino con ésto del VHDL? Digo, estamos creando circuitos con un lenguaje, no es exactamente crear un juego a base de chips, pero con ésto puedo crear los chips para crear el juego, ¿No?
@filippocucina7001
@filippocucina7001 2 жыл бұрын
Si
@elprogramadorskrt518
@elprogramadorskrt518 4 жыл бұрын
Enséñanos a programar con hdl
@dracofloww
@dracofloww 4 жыл бұрын
Cuando aprendí VHDL al inicio me pareció super complicado pero la verdad es que es mucho más fácil que los lenguajes de alto nivel.
@franciscoangellopezgonzale5345
@franciscoangellopezgonzale5345 4 жыл бұрын
Vamos a ver si es cierto señores
@abrahamvalenciaflores2373
@abrahamvalenciaflores2373 4 жыл бұрын
yo estoy trancado en como hacer la simulación, ¿que debo hacer para poder realizar la simulación?
@thesebas6955
@thesebas6955 2 жыл бұрын
@@abrahamvalenciaflores2373 En modelsim le das a la opción compile y cargas los archivos en la carpeta work, después le das clic izquierdo y compile los test bench que quieras compilar, agregas las señales y escribes el comando run tiempo ns.
@MonarcaGTO
@MonarcaGTO 4 жыл бұрын
Mi Cpu se sobre-calienta con esta información
@andrak02
@andrak02 4 жыл бұрын
y uno iniciando apenas en circuitos basicos en electronica aun jajaja
@saulgarciaxp
@saulgarciaxp 2 жыл бұрын
Al principio no entendi pero al final tampoco :( *c cambia de carrera*
@MindMachineTV
@MindMachineTV 2 жыл бұрын
VHDL es un campo muy complicado, la neta ni yo le entiendo muy bien y eso que lleve 3 cursos(2 en la licenciatura y 1 en la maestría)
@bruhtv9625
@bruhtv9625 4 жыл бұрын
Dime la verdad eres Erick?
@Hector.Pulido
@Hector.Pulido 4 жыл бұрын
Anticristo
@alejandroalzatesanchez
@alejandroalzatesanchez 3 жыл бұрын
La dificultad de halo xddd
@johanalvira3831
@johanalvira3831 4 жыл бұрын
primero saludame mindmachinetv
@user-il9pn7rn9c
@user-il9pn7rn9c Жыл бұрын
pésimo video, se supone que tenias que explicar el qué es y nunca lo explicaste solo te centraste en como funciona.
@MindMachineTV
@MindMachineTV Жыл бұрын
También hacemos fiestas y posadas
dsp8bit #2 - Que es un FPGA? Introducción
27:10
dsp8bit
Рет қаралды 4,7 М.
¿Qué es un FPGA?
4:42
MindMachineTV
Рет қаралды 45 М.
Happy 4th of July 😂
00:12
Alyssa's Ways
Рет қаралды 67 МЛН
KINDNESS ALWAYS COME BACK
00:59
dednahype
Рет қаралды 167 МЛН
HAPPY BIRTHDAY @mozabrick 🎉 #cat #funny
00:36
SOFIADELMONSTRO
Рет қаралды 17 МЛН
마시멜로우로 체감되는 요즘 물가
00:20
진영민yeongmin
Рет қаралды 32 МЛН
¿Qué es un procesador APU?
4:54
MindMachineTV
Рет қаралды 44 М.
¿Qué es la lógica difusa?
5:24
MindMachineTV
Рет қаралды 10 М.
How do computers read code?
12:01
Frame of Essence
Рет қаралды 3,1 МЛН
✅ Que es un FPGA ?  Es el final de Arduino?
25:27
El profe García
Рет қаралды 650 М.
80 Year Olds Share Advice for Younger Self
12:22
Sprouht
Рет қаралды 1,4 МЛН
¿Qué es un procesador cuántico? con Javier Santaolalla
5:56
MindMachineTV
Рет қаралды 27 М.
Driving a VGA Display?! Getting started with an FPGA! (TinyFPGA)
11:26
¿Qué es una database y un dataset?
5:20
MindMachineTV
Рет қаралды 12 М.
La  diferencia entre HDD y SSD
4:20
MindMachineTV
Рет қаралды 317 М.
What do ROM and RAM do?
4:03
MindMachineTV
Рет қаралды 243 М.
Look, this is the 97th generation of the phone?
0:13
Edcers
Рет қаралды 5 МЛН
Xiaomi SU-7 Max 2024 - Самый быстрый мобильник
32:11
Клубный сервис
Рет қаралды 340 М.
Копия iPhone с WildBerries
1:00
Wylsacom
Рет қаралды 4,7 МЛН
EXEED VX 2024: Не өзгерді?
9:06
Oljas Oqas
Рет қаралды 49 М.
Лазер против камеры смартфона
1:01
NEWTONLABS
Рет қаралды 563 М.
АЙФОН 20 С ФУНКЦИЕЙ ВИДЕНИЯ ОГНЯ
0:59
КиноХост
Рет қаралды 1,1 МЛН