What is I2C, Basics for Beginners
18:30
Why I Hate Karnaugh Maps
4:34
4 жыл бұрын
SPI Master in FPGA, VHDL Testbench
11:01
What is SPI?  Basics for beginners!
13:04
Пікірлер
@scanlly
@scanlly 3 күн бұрын
Thank´s for content !!
@thesnax9177
@thesnax9177 9 күн бұрын
Hi! I:m really interested in getting started with FPGAs, and your channel looks promising. I:m just concerned the channel is coming to a close (not your fault! life happens and changes!) Do you have any plans to continue the channel or tutorials with the NANDLand Go Board?
@damianradinoiu4314
@damianradinoiu4314 12 күн бұрын
Russel you are one of the best teachers I've ever read a book from (I finished it already). I'm a computer scientist at heart and I'm trying to get some hobby projects at first done and then want to transition into HFT. What further would you recommend me to try ?
@archieengineer9942
@archieengineer9942 17 күн бұрын
Yes fpga engineer
@mannguyen5781
@mannguyen5781 19 күн бұрын
Hi, i have a question, and i need your assistance. In a system, we make transfer with single port sram through spi protocol instead of transfering directly. Why? Many thánks
@chaiboonchuai6515
@chaiboonchuai6515 19 күн бұрын
How to program chip .only board or external tool ?
@FirstLast-ih6ec
@FirstLast-ih6ec 21 күн бұрын
Russel, why did you go with iCECube2 and not with apio which also supports the go-board?
@Nandland
@Nandland 20 күн бұрын
apio works great too but it's only for Verilog users. Can't leave VHDL out.
@FirstLast-ih6ec
@FirstLast-ih6ec 21 күн бұрын
Is the Goboard open source? (e.g.schematic).
@Nandland
@Nandland 20 күн бұрын
Schematic is available at the bottom of this page: nandland.com/go-board-tutorials/
@ScottCov
@ScottCov 26 күн бұрын
Been trying to get a handle on this for a few days...This did it clearly. Thank you!
@user-ms8kp2xc2i
@user-ms8kp2xc2i 26 күн бұрын
The best reference for digital timing is Sarah and David Harris' book "Digital Design and Computer Architecture" published by MK. The concept was clearly well explained in the book. The authors summarized and presented the subject very well.
@ufester27
@ufester27 Ай бұрын
Thanks for the video. It is always helpful to be able to glean insight from experience.
@y_x2
@y_x2 Ай бұрын
HDMI does not run at 12GHz... and does NOT use 8/10 bits encoding scheme. This is only a rough explanation...
@jinglejangle4653
@jinglejangle4653 Ай бұрын
The lattice licensing form tells you to specify License Type (Node-locked or Floating). What should we choose?
@muhammedfayas5907
@muhammedfayas5907 Ай бұрын
Hello Sir, can you please make a video how to store the text file in block RAM.
@davidsimpson7292
@davidsimpson7292 Ай бұрын
FYI for 5/14/24, I'm still seeing subscription on Lattice's website for the iCE40 LP/HX/LM. I can't get the software to download either. I can't find the free license request page where it used to be. I'm still investigating though.
@freeelectron8261
@freeelectron8261 Ай бұрын
Excellent news! Thanks all you guys who helped make icecube2 free again! I will leave a review on Amazon. And I don't have the book yet :)
@hauluongquy8873
@hauluongquy8873 Ай бұрын
That is a great speech and very helpful for my next interview. But at 8:14 you said that DRAM was much faster than SRAM ? I think that is SRAM faster than DRAM ? Is it right ?
@thomasparker4109
@thomasparker4109 Ай бұрын
yeay u come back!
@icestormfr
@icestormfr Ай бұрын
"Getting rid of metastability" - to be exactly: never, but you can reduce the probability to extremely unprobable (probability reduces by a factor by each FF stage), see also MTBF. Or to never cross clock domains of asynchronous clocks🙃
@NivagSwerdna
@NivagSwerdna Ай бұрын
Yay! That's good news.
@gimpel18
@gimpel18 Ай бұрын
hello. and in your first lesson on the site ("Tutorial: Your First FPGA Program: An LED Blinker. Part 1: Design of VHDL or Verilog"), where do you connect the frequency output? could you tell us more about how you perform frequency division in this example.
@Hfgh564
@Hfgh564 Ай бұрын
Thanks Russell!
@justincoon7971
@justincoon7971 Ай бұрын
this is the best news of the decade
@aarondcmedia9585
@aarondcmedia9585 Ай бұрын
Congrats, great work
@fredo514
@fredo514 Ай бұрын
They did the same with ispLever a few years ago. I can’t believe they wanted to make people pay for the garbage that is iceCube2…
@Polprzewodnikowy
@Polprzewodnikowy Ай бұрын
FPGA design software is still a joke in year 2024, no matter if it's from big players (Xilinx/Altera/Lattice) or lesser known like Efinix. Every single one FPGA manufacturer has this stupid license requirement that can change at any time, because they know there are no alternatives. And Lattice actually proved this can and *will* happen eventually. I have a Lattice Diamond project designed for the MachXO2 and I'm now concerned that I might some day just lose any ability to work on it. I still need to renew "free license" for Diamond every year. I really wish they were forced to publish all relevant documentation so free open source software could do better job than manufacturers. Not to mention Diamond being so bad and behind any other tool that doing any timing analysis is the worst nightmare. Yosys and everything around it is very promising but it obviously doesn't support anything less popular. I can just imagine how hard is to reverse engineer bitstreams for every single new FPGA family. Huge kudos for anyone who's doing this without documentation from the manufacturer.
@lawrencemanning
@lawrencemanning Ай бұрын
They shouldn’t be forced to do anything, that’s crazy. It’s all property owned by them. It’s just how it is and needs to be factored into the business (or otherwise) risk. It’s exactly the same for other types of tech like MCUs or even folks writing “modern” cloud web applications. I use Yosys for my projects. Previously I used quartus. This is just hobby stuff. I wish Yosys was available for older Altera parts, but I’ll use it where I can, as everyone should.
@Polprzewodnikowy
@Polprzewodnikowy Ай бұрын
Sorry but I strongly disagree, especially when you mention MCUs. I can use GCC based toolchain with vast majority of microcontrollers, all I need is memory map and peripheral documentation. FPGAs are nowhere near this level of freedom because bitstream format is just a black box, not documented at all. But this will never change with the "protecting the business" mentality. Other industries already adopted open source technology to a great benefit. Wish this will happen to the FPGA industry.
@lawrencemanning
@lawrencemanning Ай бұрын
It was only your bit about being forced into a particular behaviour I disagree with. The free market doesn’t work like that. What I don’t understand is how they are damaged by releasing this information, but it’s for them to choose as it is their property. We are still in a much better situation than we were a decade ago with this stuff. There was literally no alternate than proprietary software, for any programmable logic part. Maybe eventually they will see the logic in open sourcing these tools.
@darronvanaria2952
@darronvanaria2952 Ай бұрын
Hey! Nice to see a new video: really enjoying the book- I’ll leave a review on Amazon pointing out that the IDE is free again. I’d love to see a video on what you think of the current state of the embedded/fpga industry. I’m trying to break in- are companies hiring novice FPGA programmers? Are you currently still working professionally in FPGA work?
@PiotrEsdenTempski
@PiotrEsdenTempski Ай бұрын
@nandland You might want to document the use of the open tools (Yosys, nextpnr, icestorm) for your book as a solution. I personally find it easier to use than the iCEcube2 software. It is very mature for the iCE40 lineup of parts, I did several production projects with it. There was no need to even consider using iCEcube2 as far as I am concerned, especially because the open tools can be used as part of the CI system.
@SvenneKrap
@SvenneKrap Ай бұрын
What about a updated f4pga tutorial due to the occasion? Close source tools (even when temprarily at no cost) always have this risk, that is why I am so pro open-source...
@lawrencemanning
@lawrencemanning Ай бұрын
Yup. I can’t say anything for Windows users but the OSS iCE40 tools are fantastic on Linux. I wish yosys etc worked on my Cyclone 4 board.
@lawrencemanning
@lawrencemanning Ай бұрын
I’ve had great success with the Yosys tools on my iCE40UP board. Not even looked at the proprietary tools. I’m sure there are some disadvantages but for my moderately complex projects Yosys is fantastic.
@CraigHollabaugh
@CraigHollabaugh Ай бұрын
Good news. Thanks for the update.
@melovescotch
@melovescotch Ай бұрын
Lattice lost my trust....
@DreamVladKo
@DreamVladKo Ай бұрын
Ура!
@ksbs2036
@ksbs2036 Ай бұрын
It was such a stupid move to charge for the software. I'm sure they started to see orders for their devices dry up. And so many students would become familiar with Xilinx or Altera instead so they would have lost future orders
@pefclic
@pefclic Ай бұрын
You could always use OpenSource solution like Yosys
@alecsei393ify
@alecsei393ify Ай бұрын
Thank you!
@sohampramanik5790
@sohampramanik5790 Ай бұрын
Damn..the channel is still alive
@magnuswootton6181
@magnuswootton6181 Ай бұрын
yay fpgas! how to wip a gpus ass.
@raulguerreroflores1460
@raulguerreroflores1460 2 ай бұрын
Stack = first in , first out
@lardogcat
@lardogcat 2 ай бұрын
Well done video, it took me about 20 minutes including loading up the tools to get the first bit of Verilog code working. Thanks!
@Nandland
@Nandland 2 ай бұрын
If you need a license for iCEcube2 email me [email protected] with your MAC address and I'll get you one. Lattice changed their license form. Sorry for the inconvenience!
@Hfgh564
@Hfgh564 2 ай бұрын
Amazing courses, amazing board and amazing book! The IceCube2 is no free anymore and this is insane :S Russel, I've emailed you with my mac, please drop some response when you got a sec! Thanks for everything you do!
@Nandland
@Nandland 2 ай бұрын
Thanks for the email. I'll make the request thru my Lattice contacts for the license. Thanks for your patience and understanding, this should be fixed soon (fingers tightly crossed).
@Hfgh564
@Hfgh564 2 ай бұрын
@@Nandland Thanks for the response!
@sombrachibi
@sombrachibi 2 ай бұрын
I'm missing new content from you Mr.Nandland! You are the best teacher I've ever seen for all FPGA-related topics. Please consider making more youtube videos! I bought your book btw.
@Atmatan_Kabbaher
@Atmatan_Kabbaher 2 ай бұрын
Right now, im really mad at that guy who told me "analog computing is the key to sentience in AI", because here i am: learning about FPGAs for the first time in 2024. 🥲
@sree8132
@sree8132 2 ай бұрын
Good overview ,particular with the examples. Looking for forward for FPGA vs Micro processor
@SuryadevSingh-sz2ge
@SuryadevSingh-sz2ge 2 ай бұрын
I am working on the project where I have to setup board to board connection using PMOD Bluetooth module with basys 3 board can anyone tell me how to approach this.
@edwardbrito8802
@edwardbrito8802 2 ай бұрын
Dude you’re awesome
@oluwatoniodetayo3141
@oluwatoniodetayo3141 2 ай бұрын
How do you know which logic gets precedence? Is it always "and" or is it the first logic operation from the left?
@michaelbailey9902
@michaelbailey9902 2 ай бұрын
I like others can not cinfiure serial port for teraterm, serial port grayed out, when I try form main menu says, no serail port, googled but haven't found anything yet? didn't see any responses to others who had similar issue?
@michaelbailey9902
@michaelbailey9902 2 ай бұрын
I think the drivers are not getting installed correctly
@Nandland
@Nandland 2 ай бұрын
Open Device Manager. Look under Ports (COM & LPT). When you plug in the Go Board you should see 2 COM ports pop up under there. If you do not, try a different USB cable.
@y_x2
@y_x2 3 ай бұрын
A FPGA does not have the RS232 voltage buffer!