Пікірлер
@ley547
@ley547 18 сағат бұрын
Cool😊
@ibrahimfono6954
@ibrahimfono6954 3 күн бұрын
Mer I mer I beaucoup a partir de maintenant je vous suivrai reglo❤
@ibrahimfono6954
@ibrahimfono6954 3 күн бұрын
Vraiment vous avez un don spécial d'enseignement merci infiniment , et bien de bénédiction sur vous
@KouadioericKouadio-r3p
@KouadioericKouadio-r3p 9 күн бұрын
Coucou Elodie
@erickouadio4708
@erickouadio4708 Ай бұрын
Bonjour elodie
@MouhLamraoui
@MouhLamraoui Ай бұрын
ma soeur j'ai probleme avec un automate tolkar de la machine a laver model smd-io ai ce que je peut avoir sont shemat de racordement lahe yedjazike
@francoistiendrebeogo6853
@francoistiendrebeogo6853 2 ай бұрын
J'apprécie le contenu de ce cours avec les détails soigneusement expliqués. Merci bien madame , je viens de vous découvrir mais ça sera pas là dernière fois , vous avez eu un nouveau élève !
@ericfongangsikadi2887
@ericfongangsikadi2887 2 ай бұрын
Bonjour Est-ce que vous avez des capsules vidéos pour du Beckhoff
@ericfongangsikadi2887
@ericfongangsikadi2887 2 ай бұрын
Merci
@gayemamadoukhary9281
@gayemamadoukhary9281 4 ай бұрын
BRAVOOO .... Continuez les cours svp
@user-dh4yv7ox5l
@user-dh4yv7ox5l 4 ай бұрын
tu nous a vraiment aidé en techno!!!!
@elodie_stem
@elodie_stem 4 ай бұрын
Super ! J'espère que ça a bien fonctionné 😉
@user-dh4yv7ox5l
@user-dh4yv7ox5l 4 ай бұрын
merciiiiiii🥰
@user-dh4yv7ox5l
@user-dh4yv7ox5l 4 ай бұрын
merci tu nous a bien aidé en techno
@arnauldseverinkouyimoussou4320
@arnauldseverinkouyimoussou4320 4 ай бұрын
Vous êtes les meilleurs
@AbdelhakEZ-ZOUINE-qi1ek
@AbdelhakEZ-ZOUINE-qi1ek 4 ай бұрын
Merci
@mohamedmmadiimame-st2vx
@mohamedmmadiimame-st2vx 5 ай бұрын
bonne explication, chapeau
@dmereunion
@dmereunion 5 ай бұрын
Excellente vidéo bravo Elodie…très pédagogique j’ai réussi mes exercices sans tricher évidemment ! Bonne continuation !!
@elodie_stem
@elodie_stem 5 ай бұрын
Bien joué !! ☺️🥳
@user-zs5bj6em1e
@user-zs5bj6em1e 5 ай бұрын
Bonjour, Je vous remercie beaucoup de de m'avoir répondu, j'ai un projet à rendre au début du mois de février qui à pour thème " Conception FPGA de fonctions ludiques" c'est-à-dire concevoir des petits projets qui doivent être réalisés sur la plateforme de développement DE10 LITE en langage VHDL. J'aimerais que vous m'aidiez à avoir quelques à trouver quelques fonctions codées en VHDL et qu'on peut implémenter sur la carte DE10 LITE. J'ai trouvé quelques fonctions à savoir allumage d'une LED avec la pote NAND, afficheur 7seg, additionneur complet.
@mamadoukorkakante4339
@mamadoukorkakante4339 6 ай бұрын
Bonjour, Je viens de découvrir votre vidéo, elle m'a vraiment aider pour la mise à jour du pilote USB-blaster. J'ai besoin d'un coup de main sur quelques petits projets réalisés avec la carte FPGA.
@elodie_stem
@elodie_stem 5 ай бұрын
Génial si j'ai pu t'aider!
@user-zs5bj6em1e
@user-zs5bj6em1e 5 ай бұрын
Bonjour, Je vous remercie beaucoup de de m'avoir répondu, j'ai un projet à rendre au début du mois de février qui à pour thème " Conception FPGA de fonctions ludiques" c'est-à-dire concevoir des petits projets qui doivent être réalisés sur la plateforme de développement DE10 LITE en langage VHDL. J'aimerais que vous m'aidiez à avoir quelques à trouver quelques fonctions codées en VHDL et qu'on peut implémenter sur la carte DE10 LITE. J'ai trouvé quelques fonctions à savoir allumage d'une LED avec la pote NAND, afficheur 7seg, additionneur complet.
@aboubacardaou7829
@aboubacardaou7829 6 ай бұрын
tres bon cours.tu expliques extrement bien les concepts
@elodie_stem
@elodie_stem 5 ай бұрын
Un grand merci !
@ayarioussama676
@ayarioussama676 7 ай бұрын
Exercice 6 : Combinaison "0" et "1" et "1" donne Y1= 0 lampe éteinte
@omarfofana1606
@omarfofana1606 7 ай бұрын
salut mon professeur. très bien expliqué. en tant que debutant j'ai bien compris merci beaucoup. comment trouver la suite a savoir l'adressage binaire dont vous aviez introduit à la fin de cette video.
@montassarzitouni454
@montassarzitouni454 8 ай бұрын
merci beaucoup
@goumbanialquiet7695
@goumbanialquiet7695 8 ай бұрын
Très bien expliqué. Comment se procurer un automate?
@elodie_stem
@elodie_stem 8 ай бұрын
Dépendamment de votre localisation, il y a des revendeurs. Vous pouvez trouvez sur les sites internet de Rockwell automation, Siemens, Schneider. Vous pouvez sur des sites de reventes comme Ebay si vous voulez des automates immédiatement mais ils seront généralement usagés/vieux.
@regismercierdzalamou4610
@regismercierdzalamou4610 10 ай бұрын
Merci beaucoup pour vos explications
@sanahajlaoui5859
@sanahajlaoui5859 10 ай бұрын
merci c'est claire et net est ce que je peux installet rs logix 500 SUR WINDOWS 7
@elodie_stem
@elodie_stem 10 ай бұрын
Il est possible de faire rouler RLogix500 sur Windows 7 à partir de la version 9 (8.4 pour W7 pro) jusqu'à la version 12 Source : compatibility.rockwellautomation.com/Pages/MultiProductCompareSelections.aspx?crumb=113&toggleState=&versions=54949,55587,55612
@morad439
@morad439 10 ай бұрын
Merci beaucoup pour cette leçon, c'est génial vous mérite un grand chapeau. Morad de Maroc
@tegranyembo4657
@tegranyembo4657 10 ай бұрын
Vraiment vous êtes meilleur j’aime votre façon d’éclaircir des petit détails
@Eaglemic50
@Eaglemic50 10 ай бұрын
Merci beaucoup +1
@global-tech3203
@global-tech3203 11 ай бұрын
Bonjour Élodie
@misterAD88
@misterAD88 Жыл бұрын
Bien expliqué
@misterAD88
@misterAD88 Жыл бұрын
Très bien expliqué
@leonoleko7748
@leonoleko7748 Жыл бұрын
merci beaucoup pour le cours je suis tellement reconnaissant
@jean-francoisclain9525
@jean-francoisclain9525 Жыл бұрын
erreur c n'est pas pressé donc "0"
@elodie_stem
@elodie_stem Жыл бұрын
Time stamp ?
@phaphanos
@phaphanos 11 ай бұрын
J'ai trouvé la même réponse que @jean-francoisclain9525
@phaphanos
@phaphanos 11 ай бұрын
pour l'exercice 6
@tikpet
@tikpet Жыл бұрын
pourquoi tu a arrêter de faire des vidéos
@elodie_stem
@elodie_stem Жыл бұрын
Ça prend du temps, et je n'en ai pas en ce moment. Normalement, je compte en faire des nouvelles cet été
@tikpet
@tikpet Жыл бұрын
@@elodie_stem elle sont très bonne en tk ça m’a aidé 🙏
@togo-magic
@togo-magic Жыл бұрын
😍👍
@leonoleko7748
@leonoleko7748 Жыл бұрын
Merci beaucoup
@rubin8695
@rubin8695 Жыл бұрын
Bonjour madame, ça me plaît, vraiment, je vous suivrai chaque jours, j'aime ce cours
@dev-rachid
@dev-rachid Жыл бұрын
Question: j'ai un capteur de températures (donc, un signal analogique) que je désire convertir en signal numérique via un PLC pour alimenter la base de données (de mon ordinateur) en données numériques afin d'afficher en temps réel les différentes variations de températures sur mon écran 🖥. dois-je utiliser le rj45 comme port pc n'est-ce pas ? Si oui, pourquoi ? Comment interpréter des signaux électriques numériques par le pc? Merci
@MAYINGIJEANCYNZOLA
@MAYINGIJEANCYNZOLA Жыл бұрын
merci pour l'informations
@kinshasa1976
@kinshasa1976 Жыл бұрын
très interessant pour moi qui suis habitué uniquement à des PLC Siemens et aux tools TIA portal . merci à vous
@abdelkadercheikh9848
@abdelkadercheikh9848 Жыл бұрын
Merci , très bonne explication est ce que vous pouvez me donner votre e-mail pour que je peux vous poser ees des dans ce domaine
@sofianebenbetka9988
@sofianebenbetka9988 Жыл бұрын
Déjà vu
@aymanboras5888
@aymanboras5888 Жыл бұрын
merci 🤍
@ley547
@ley547 Жыл бұрын
Merciiiiii😊
@ayyoubjarid2716
@ayyoubjarid2716 Жыл бұрын
Good job thank you
@elaskriedoukkali1295
@elaskriedoukkali1295 Жыл бұрын
Merci beaucoup mon prof.c'est une bonne explication 👍🏻👍🏻💐💐💐💐
@ilyasilyas3112
@ilyasilyas3112 Жыл бұрын
Merci beaucoup,continue comme ça très très bien expliqué
@steveaimedjieutcheu6000
@steveaimedjieutcheu6000 Жыл бұрын
merci pour ce tuto , il m'a été très utile
@gaston4431
@gaston4431 Жыл бұрын
Super vidéo es que vous en avez un ne de vidéo où vous expliquer tous les éléments comme mov add equ grt Etc merci
@rafalimananajacky2496
@rafalimananajacky2496 Жыл бұрын
Merci beaucoup de votre partage.