Gate-All-Around - The Future of Transistors

  Рет қаралды 73,623

High Yield

High Yield

Күн бұрын

What are GAAFETs and how does their shape change the future of transistors? // To find out more about ASM, go to lnk.bio/ASMOfficial
Follow me on Twitter: / highyieldyt
Become a supporter on Patreon: www.patreon.com/user?u=46978634
Asianometry GAA Video: • The Gate-All-Around Tr...
0:00 Intro
0:54 Field Effect Transit / 2D Planar Transistors
2:15 3D FinFET
3:17 Gate-All-Around FET
4:05 GAAFET Manufacturing
5:52 ASM / Atomic Layer Deposition (ALD)
7:30 GAA Process Nodes
7:58 Samsung SF3E GAA
9:20 Intel 20A & 18A RibbonFET
10:04 TSMC Nanosheets
11:07 GAA & The Future of Transistors

Пікірлер: 220
@xlerb1637
@xlerb1637 6 күн бұрын
Not an electromagnetic field, an electric field. An electromagnetic field always means photons (light, microwave, radio, etc.) no exceptions. That's why it has the "electro" part and the "magnetic" part; photons are an alternating electric field and magnetic field. Field Effect Transistors just use an electric field, that is, a charge. Nothing magnetic.
@HighYield
@HighYield 6 күн бұрын
Of course, you are right. Thanks for the correction!
@PaulSpades
@PaulSpades 6 күн бұрын
The EM field includes magnetic and electric oscillations, if you must call them photons, fine. Electric and magnetic are components of an EM field/wave, but they are not proportional. You can have electric effects without much magnetic effects and the other way around. The proportion of these components depends on geometry, material and other properties of the effector and medium. FETs don't generate MUCH of a magnetic efect, NOT none. Your comment is like the inverse of astronomers measuring magnetic fields in space and concluding that there's no electric current flowing because the magnetic effect is weak. Kilowatt lasers also generate next to no magnetic effects.
@xlerb1637
@xlerb1637 6 күн бұрын
@@PaulSpades ? A kilowatt laser would have a kilowatt of magnetic flux (as well as a kilowatt of electric flux) but it would be nearly undetectable outside the photons. A FET generates no magnetic field, except a negligible field when the charge is impressed or removed.
@EntekCoffee
@EntekCoffee 6 күн бұрын
​​​@@PaulSpades That's a good analogy, but regardless, there should be a clear separation between electric, magnetic, and electromagnetic fields depending on context. In the context of FETs, I don't think electromagnetic and electric fields are interchangeable, but these mistakes happen.
@PaulSpades
@PaulSpades 6 күн бұрын
@@xlerb1637 All true. A 1kw laser generates 0.00133 tesla when hitting a surface (assuming perfect absorption): a small bit stronger than a fridge magnet. The FET generates EM when switching(which should cause tiny EM ripples). But also caries electric current when conducting, and you can't have electric current without magnetic waves, as small as they are.
@blueboy3990
@blueboy3990 6 күн бұрын
Dang ASM looks so cool ! I am definitely buying one of their machines, but I'll have to wait till black friday for the discounts !
@HighYield
@HighYield 6 күн бұрын
I should have a 10% code ;)
@1samm1
@1samm1 6 күн бұрын
​@@HighYield if you'd get a percentage of their sales - instantly made for life 😁
@karlstathakis7786
@karlstathakis7786 6 күн бұрын
This is rapidly becoming one of the best channels on KZfaq.
@donsknots6510
@donsknots6510 3 күн бұрын
We laugh but itd just get scalped ​@HighYield
@AnalogDude_
@AnalogDude_ Күн бұрын
lol
@IamTheHolypumpkin
@IamTheHolypumpkin 5 күн бұрын
Wait, how do I notice this only now. You where my University Professor I took my "Wahlpflichtmodule" using FPGAs. Still the most fun modules I ever signed up for.
@Executor009
@Executor009 4 күн бұрын
So is he German? I kinda thought that by the way he pronounced wafer.
@kevikiru
@kevikiru 6 күн бұрын
It's clear that High Yield's first language is not English yet he is so eloquent in speech. Even more interesting is the fact that he is speaking about complicated language to probably a technically competent audience but not very sophisticated but still very understandable. And he keeps attention without the repellent clickbait and over-hype. This is just lovely!
@andrebrait
@andrebrait 6 күн бұрын
The fun part about being a 2nd language speaker is that you can feel absolutely confident in the domain you're used to and speak about it in detail... But then you can't ask someone to pass you the thingamajig at the table because you never used the what-you-may-call-it and it's right next to the thingy you absolutely know but never had encountered the translation before. I can talk about technical stuff in English better than I can in my native language, even 😅
@hornsteinhof7592
@hornsteinhof7592 6 күн бұрын
Indeed. Is he German perhaps?
@TechOtaku86
@TechOtaku86 6 күн бұрын
Not to be rude but this comment section gives me brainrot 💀
@m1ar1vin
@m1ar1vin 6 күн бұрын
Yes
@kevikiru
@kevikiru 6 күн бұрын
@@TechOtaku86 Maybe your brain was already rotting and you just realized now and having a knee-jerk reaction
@DigitalJedi
@DigitalJedi 6 күн бұрын
Hello once again from Intel Foundries! I've been loving to see all the coverage these new nodes are getting. Intel has moved me on from 18A fully now that Panther Lake has powered on. I don't have all the info and can't give all of what I do have, but I'm happy to field questions again.
@eddiedoesstuff872
@eddiedoesstuff872 6 күн бұрын
Always cool to see an expert in the comment section! Anyways, from what I’ve seen, every new transistor innovation just increases the amount of contact space between the channel and gate, but since we’re already surrounding almost every side of the channel, is this it for transistor design or are there other possible avenues to increase efficiency?
@vicktran669
@vicktran669 5 күн бұрын
Is Panther Lake the successor to Arrow Lake or Lunar Lake?
@EntekCoffee
@EntekCoffee 5 күн бұрын
I don't know whether or not this has been asked (or published) before, but I'm curious on how you guys build the metals of the M-I-S gates scalably. I work with Si fabrication too and I just couldn't imagine how you guys wrap the metal around a suspended channel like that, at a huge scale with good yields! Is ALD that good now?
@DigitalJedi
@DigitalJedi 4 күн бұрын
@@eddiedoesstuff872 This isn't quite the end, but we are beginning to approach what I would consider an "endgame" transistor design under current manufacturing processes. BSPDN and other technologies to optimize other parts of the chip outside of the transistor scale are going to become very important, which is part of why intel is investing heavily in them now. Advanced packaging and power tech are likely going to be as big a part of a truly next-gen node as much as transistor density is. Being first to the market with combined BSPDN and GAAFET also means they have a headstart on an improved version, which is where the rubber really meets the road.
@DigitalJedi
@DigitalJedi 4 күн бұрын
@@vicktran669 Ideally it succeeds both as an 18A product with new cores and Xe3. I sadly can't say more about it than is already out there.
@amessman
@amessman 2 күн бұрын
"The last time it happened was over a decade ago." _shows FinFET_ I'm getting old.
@hytalefanboi7471
@hytalefanboi7471 6 күн бұрын
It's a good day when High Yield drops a new vid
@ADB-zf5zr
@ADB-zf5zr 6 күн бұрын
Always.
@karlstathakis7786
@karlstathakis7786 6 күн бұрын
Hell yes it is
@jemborg
@jemborg 3 күн бұрын
Yerp
@bastiangugu4083
@bastiangugu4083 6 күн бұрын
Great shoutout to Asianometry. His videos are always very interesting, not only on semiconductors. 🙂 But I'm also very glad to have found your channel. It was through a video by Tom from MLID. So the journey continues.
@MFMegaZeroX7
@MFMegaZeroX7 6 күн бұрын
While certainly unproven, Rapidus is also supposed to start producing 2nm in 2027 presumably using GAAFETs. It would be interesting to have 4 cutting edge foundries if they can do it.
@sgt_mate
@sgt_mate 6 күн бұрын
Took me a few minutes to bring back my knowledge on fet but I think I got it now. Thanks for bringing back and enriching my knowledge.
@karlstathakis7786
@karlstathakis7786 6 күн бұрын
This is rapidly becoming one of the best channels on KZfaq
@dirg3music
@dirg3music 6 күн бұрын
Yeah these hardware deep dives are incredible
@Vinzmannn
@Vinzmannn 18 сағат бұрын
I think this was a nice explanation. Also really nice of you, shouting out a much smaller youtuber like asianometry.
@sokiuwu
@sokiuwu Күн бұрын
Can't Wait for 4D transistors
@IDTT137
@IDTT137 2 сағат бұрын
They'd probably find a way atp
@shmookins
@shmookins 6 күн бұрын
Ah, you abruptly stopped at the forksheet/fork design. I was so engrossed in the whole video and was very curious about this new fork method. Excellent video, friend. I'm off to look for this fork idea.
@HighYield
@HighYield 5 күн бұрын
Definitely a future topic!
@oIdspice
@oIdspice 5 күн бұрын
feed me transistor knowledge and I shall consume
@shuginubi
@shuginubi 3 күн бұрын
Just thinking about the indomitable human spirit willing to take on such an immense task as wrapping those tiny channels. Just mind blowing.
@paulnewhouse5126
@paulnewhouse5126 6 күн бұрын
Good to see you on Moore's Law is dead man! Hope to see more content from you soon.
@christophermullins7163
@christophermullins7163 3 күн бұрын
When you said "thats the 'field effect'" many things vame into perspective for me. You do such a great job explaining these topics. ❤❤❤❤❤
@HighYield
@HighYield 3 күн бұрын
Awesome, thank you! Really helps getting that feedback :)
@JorenVaes
@JorenVaes 5 күн бұрын
I'm working on a GAA tapeout right now. From an analog designer's perspective, the transistors perform great, but my god the ever increasing layout rules a nightmare. In addition, the lack of multiple oxide thicknesses makes anything that faces the IO a significantly higher challenge. In all honesty, I think back-side power will have a significantly higher impact on performance than the move to GAA.
@HighYield
@HighYield 2 күн бұрын
You think software/EDA tools will at some point take over when it comes to chip design? Like no more humans needed?
@JorenVaes
@JorenVaes Күн бұрын
​@@HighYield I don't know to be honest. You already see this in digital design - more and more of the design is shifted to 'programming'-like, with HDL and such. That said, the standard cells are still often done by hand. In analog, it's a mixed bag. You do see companies trying to push this - though in most cases it is from a 'design-portability' perspective - being able to easily migrate a design from 16 to 10 to 7 to 5 nm, or make small variations (more output driver power, higher current capacity of an LDO, etc). But, at least as far as I understand it, you still do use a lot of manually designed. Even if they are just generation scripts, the best trade-offs in those rules are made by thinking and designing 'the old fashioned' way. When it comes to high speed analog, it is similar, though I think as you go higher and higher in frequency, I think you see less and less automation, as there is more 'fingerspitzengefühl' involved in the desing process. The few attempts I've seen to fully automate the design process have been mixed. I imagine AI will eventually get there, but I don't see it happening in the next 10 years. That said, if you asked me 10 years ago if I would be able to have a pretty convincing conversation with a chatbot by openAI about the intrecacies of millimeter-wave design, I would have laughed you out the room but here we are. ---- What I can say is that with these new nodes, the layouts for analog are starting to look more and more like digital design. Where it used to be you really could tell the individual transistors and so on, you now see more and more that people just create a sea of transistors, all next to each other in a huge grid, and then are connected. In the most recent nodes (like 10 and below) you are pretty much forced to do this, as the multiple-patterning required for forming the gates requires huge repetitive patterns. Usually, these designs also use negative layers, called cut masks - you have huge poly or metal lines that are like 5 um long (which is massive compared to anything else in the FEOL in a 10 nm technology), and then you have a pattern of 'cuts' every 200 or 300 nm that defines your standard cell hight. You also don't get to just chose a transistor width or length - you might have one or two lengths, and two or three widths to chose from. Want a wider transistor? Put more in parallel. Want a longer one? Put them in series and pretend it is a longer gate.
@EntekCoffee
@EntekCoffee 6 күн бұрын
Nice video! One detail you might have missed is that in GAA, assuming that it's a perfect structure, you can have multiple different FET channels coupled together by one gate. This could be handy in many situations. If individual channel growth is controllable enough, you can also have multiple independent FETs in the same area of what used to be one FinFET. This would increase transistor density exponentially. Plus, you can also make FETs that interacts with each other vertically through simple or even quantum (!) interaction! It's Sci-Fi for now but many research labs are very successful in these already (especially in sub LN2 temperatures). However, the issue is with cost (which implies simplicity). The very reason why Silicon has been the material we all know is that we can get away with as little epitaxial growth as possible. It is the jack of all trades that is extremely cheap and easy to work with. Consequently, the traditional "silicon planar process" never included any epitaxial growth, except for the metal parts. Only etching, doping, oxidation, and lithography was needed.
@johnmanderson2060
@johnmanderson2060 5 күн бұрын
Don’t hold your mic, attach it to your t-shirt collar and run the cable inside the t-shirt. It will free your hands for gestures when talking.
@HighYield
@HighYield 5 күн бұрын
It’s not my usual setup, I recorded in my GFs apartment. And I didn’t want to spend the extra time setting it up 🫣
@rogerhuston8287
@rogerhuston8287 6 күн бұрын
GAA marks a significant step, but I'm a bit more excited about adding back side power delivery to the process which should also help with manufacturing.
@nikbl4k
@nikbl4k 6 күн бұрын
Hey, still very informative. Thank you, keep making videos... Its illuminating to learn these thinhs.
@diraziz396
@diraziz396 Күн бұрын
Great coverage Thanks
@PeterRince
@PeterRince 6 күн бұрын
Interesting stuff. Thanks!
@pneumavlr
@pneumavlr 5 күн бұрын
Asianometry face reveal????
@boazbrisker81
@boazbrisker81 Күн бұрын
Great video 🙏🏻
@Nuiiiiiiiiii
@Nuiiiiiiiiii 6 күн бұрын
I really like this channel, you do an excellent work searching and explaining this complex subjects for people like me that are not engineers. I think majors improvements like use to be in the 2000's/2010's aren't posible anymore but, they really not surrender xD.
@HighYield
@HighYield 5 күн бұрын
My goal is to focus more on KZfaq in the future, because it’s difficult to produce quality videos alongside a normal job.
@a.m.g.r7804
@a.m.g.r7804 5 күн бұрын
Thank you for sharing this in such a summarized and collective manner. I have always been fascinated with technology on a fundamental level of how everything actually works and is manufactured, including trying to understand what’s coming around the corner. I’m in real estate but always wanted to get into IT as a Sys and network engineer and to advise a corporation in which platform to invest in for its back end servers, I remember seeing AMD at 3$ and I told my boss tell the owner (who also has a investment division) to invest in AMD way back in 2016 since I knew AMD will be getting back into the game now it’s at 162.
@stefanweilhartner4415
@stefanweilhartner4415 Күн бұрын
it will be interesting if this also brings advantages for power transistors. less resistance, higher switching speed?
@alphaspace1100
@alphaspace1100 6 күн бұрын
Always a pleasure
@varno
@varno 5 күн бұрын
I would argue that the dhift from ttl to mos, the move from nmos to cmos, and the introduction of deep trench isolation were all significant structural changes to ic production, but conceed that the move to progressively more 3d transistor channels has only happened twice now.
@cube2fox
@cube2fox 5 күн бұрын
I believe it was around the introduction of FinFET when the trend of exponentially decreasing cost per transistor broke. The introduction of GAA and backside power delivery could also have a substantial impact on manufacturing cost.
@AdvantestInc
@AdvantestInc 4 күн бұрын
The discussion on the challenges and benefits of Gate-All-Around transistors highlights the industry's ongoing innovation. The transition from FinFETs to GAA transistors is crucial for advancing power efficiency and performance. It will be interesting to see how leading companies drive this technology forward.
@greebottle
@greebottle 6 күн бұрын
Great video! Does forksheet gives a sufficient improvement for a standalone node, or will it be more of a half-node at best or perhaps the industry will transition from GAAFET straight to CFET?
@kurtu5
@kurtu5 Күн бұрын
FinFET? Well, guess I was paying that much attention to solid state physics for the last 15 years? And now this, and then that? Nice.
@ADB-zf5zr
@ADB-zf5zr 6 күн бұрын
I would like to see Mr High Yield do some more collaborative work / discussions with other people. I have watched two with MLID (Moore's Law Is Dead) and IMHO they are two of the best three videos of MLID's discussion videos I have watched. Your ability to describe and to teach is IMHO excellent. Now onto the video talking about the primary change that chip production is (forecast) to face for 10-years (which is huge compared to when chips (semiconductor transistors) were first created back in the 70's), this IMHO is going to be a massive change and it is not just down to who is fabricating the chips, far from it, it is an absolute foundational change in chip design and if will be a bumpy ride for a while as chip designers fall into unknown traps, and others dodge them by luck. The engineers will learn and in a way, those coming in second with a simple product will likely win heavily as they gain from others mistakes and make none themselves. As for the big companies, what will happen over the next few years may force a company into destruction, or create the perfect conditions for a revolutionary product to soar. As is always the case in this industry, the future looks to be very interesting, and as GAA spreads, morphs, and vartious versions are designed and rtested by various companies, they themselves will be ironing out the bumbs as they release products, so as always, consider product one to be a comercial scale test and proof of concept whilst putting a new product to market and having 100 refinements happening simultaneously, but it will take a fundamental (revolutionary) change in design and/or manufacture to make the proof of concepts into a tuned and refined product likely sometime in 2025 this iteration of GAA will become fruitful at the msaa market level, what comes next will likely be the refined version and offer real world benefits that bring this new manufacturing technology to the masses.
@How23497
@How23497 6 күн бұрын
Collabs would be great, but MLID is a clown, has he even got anything correct on release?
@crysed7897
@crysed7897 6 күн бұрын
MLID.. Oh no please
@LeonardTavast
@LeonardTavast 6 күн бұрын
@@How23497 Yep. TechTechPotato and Asianometry are much better youtubers than MLID.
@noobgamer4709
@noobgamer4709 5 күн бұрын
@@How23497 and have you even got any info not from leaker that true on release. that guy got somethings wrong but not sure a clown cause the only one i see here is you. guy got 10% right and 90% wrong are still better than 0% right and wrong. Leaks meant to change even till the last millisecond before the company present/release/launched. youre probably from wccftech and hey, your next rtx5090 can be 1599$ till jensen decided to change to 2000$ before telling the price
@Behnam_Moghaddam
@Behnam_Moghaddam 6 күн бұрын
Thank you for yet another very informative video! btw: Zollstöcke geben vorzügliche Lav-boom-arms ab, falls die Lust zum mikrofesthalten mal vergehen sollte.
@bob450v4
@bob450v4 6 күн бұрын
Yay new vid
@Jaker788
@Jaker788 6 күн бұрын
What about contact over active gate? Is that the same concept as this or is it something else? From what I understand, Intel tried it initially on 10nm but dropped it due to yields among other tech that wasn't working.
@MrJonaslaCour
@MrJonaslaCour 6 күн бұрын
Kudos for giving credit to other KZfaqrs where it is due
@benbreeck3363
@benbreeck3363 5 күн бұрын
Hmm.. I will stipulate that Gate All Around FET is the cutting edge and future of semiconductor transistor design, but I wonder what benefits the design method would be/would have been realized on larger, back endof the line nodes. Fin FET designs seemed to mean denser interconnects beteen transistors for a given node and density. Am i reading tnis correctly?
@AlexK-jp9nc
@AlexK-jp9nc 5 күн бұрын
He mentioned it in passing but it seemed like there's now the ability to make 3-D chips that use the vertical direction in many layers. Basically a cube instead of a wafer
@MarkBarrett
@MarkBarrett 5 күн бұрын
They are going to hit a limit on the nano-meter scale node shrinks, that an atom is around 0.3nm.
@azamatbezhan1653
@azamatbezhan1653 4 күн бұрын
How do you think, when forksheet fet with Full bottom dielectric isolation will come. Impact of self heating effect in bottom dielectric isolation is not overcome
@gustavoromo6879
@gustavoromo6879 5 күн бұрын
How different will the code be?
@tommihommi1
@tommihommi1 6 күн бұрын
the changes to power delivery that are coming alongside the switch to GAA might have a at least as big an impact
@Bleats_Sinodai
@Bleats_Sinodai 4 күн бұрын
In the end, it all goes back to the vacuum tube 😊
@PreacherGannon
@PreacherGannon 21 сағат бұрын
If the gate wraps around 3 channels, and is energized to create a field to allow the channels to flow... how do you make 1 channel flow while the adjacent stacked channel cannot flow? Do you have to time it so all 3 channels can only open at the same time and like ... idk air traffic control bits so all 3 channels only open for flow at the same time but only the 1 channel you care about at this 'moment' is energized to transmit by a preceding gate? (where a moment is some insanely small fraction of a second)
@kuuhgle
@kuuhgle 5 күн бұрын
Does ASML still make the machines for this new tech?
@NootNoot.
@NootNoot. 6 күн бұрын
Wah, different upload time. Looking forward to watch this one, a hotly anticipated topic with 20A nearing!
@HighYield
@HighYield 2 күн бұрын
Last time was in Taiwan, this time I'm in Germany again.
@NootNoot.
@NootNoot. Күн бұрын
@@HighYield Haha, well that explains the background change and lavalier mic
@maynardburger
@maynardburger 6 күн бұрын
Pretty sure Arrow Lake S and mobile is still this year, so Intel should probably be the first manufacturer to offer a consumer/mainstream product using GAA. That said, 20A which is expected to be used for just the CPU die, is likely gonna be in a very '1st gen' phase, so might not really show off what it can do. 18A is basically what they'd have previously called 20A+, and that's likely where we'll see Intel and GAA/BSPD starting to really demonstrate the advantages and get back into a proper competitive position.
@Ghostsonplanets
@Ghostsonplanets 6 күн бұрын
Arrow Lake 20A is only Desktop 6+8. Everything else is TSMC N3B. And ARL Mobile is CES 25
@naikrovek
@naikrovek 3 күн бұрын
this is a fantastic video, but why are you *holding* a clip-on lapel microphone?
@Artofficial1986
@Artofficial1986 6 күн бұрын
I love the NHI tech
@TechOtaku86
@TechOtaku86 6 күн бұрын
Man, it wasnt long ago when 14nm was revolutionary for using FinFet technology, now we will be switching to a new design again. Moore's law might be dead but not technological advancement
@zizimugen4470
@zizimugen4470 23 сағат бұрын
0:44 it’s 2024. Why are you holding that mic that you bought with a clip that attaches to the inside of your shirt?
@Redtoad1234
@Redtoad1234 5 күн бұрын
It's interesting to see Dutch equipment suppliers advertising to the public so much. I assume they're just trying to juice their stock or looking for more subsidies from the EU/Dutch government.
@backpackly
@backpackly 6 күн бұрын
First! Extremely well done video, was excited to see it come out just as I was looking for something to watch.
@quegyboe
@quegyboe 4 күн бұрын
My guess for the leading SoC being made by Samsung is their next Exynos 2500.
@dgillies5420
@dgillies5420 6 күн бұрын
40 years ago in VLSI for poets class I was told that the electric field draws electrons and holes up from the semiconductor substrate allowing current to flow in the channel. I don't understand where those mobility carriers come from in GAA ...
@EntekCoffee
@EntekCoffee 6 күн бұрын
With masking you can selectively dope the nano-scale channel with acceptor, donor, or both atoms. If you apply enough electric field, you can deplete, accumulate, or invert the channel, increasing/decreasing its conductivity. The same thing happening in a regular FET.
@jamegumb7298
@jamegumb7298 5 күн бұрын
The real shift I think will come with the integrated tiny capacitors they recently came up with. Another: optical interconnects, like the experimental Intel 4Tbit one. PCIe over 100m.
@MarkBarrett
@MarkBarrett 5 күн бұрын
It is the surface area that they want.
@tomstech4390
@tomstech4390 5 күн бұрын
Waiting for foam transistors now.
@HighYield
@HighYield 2 күн бұрын
Seems like Samsungs "mystery" first GAA chip will be the Exynos W1000 for the next Samsung Watch: semiconductor.samsung.com/processor/wearable-processor/exynos-w1000/
@wile123456
@wile123456 6 күн бұрын
I'm guessing the mobile chip at Samsung foundry is an exynos chip by Samsungs mobile division. I doubt apple would use Samsung, since they have premium relationship with TSMC newest nodes. Qualcomm also uses TSMC. Samsung has fumbled their nodes a lot the past 5 years, being a generation behind TSMC in effeciency, the snapdragon 8 gen 1 etc was a failure of a chip, having worse effeciency curve than the previous 888 chip, and only getting more peak performance because they raised the wattage
@eruiluvatar236
@eruiluvatar236 6 күн бұрын
As they are growing them layer by layer I wonder if there is anything stopping them from growing more than one layer of transistors achieving true 3D. That would allow them to push density per mm^2 when making smaller transistors is no longer possible and thermally it would be better than current stacking solutions, specially if they tune the transistors for efficiency instead of speed and go for way more transistors as a trade off.
@jannegrey593
@jannegrey593 6 күн бұрын
They already do that - at least sort of. Even with etch it is doable. After all we moved to this (couple layers of transistors on top of each other) a long time ago. This is what decoupled "nanometers" from gate size.
@davidgunther8428
@davidgunther8428 5 күн бұрын
They do this with NAND flash memory, but I think the transistor quality is not good enough for high performance logic.
@enrac
@enrac 5 күн бұрын
Is ASM the same as ASML
@my0wn0p1n10n
@my0wn0p1n10n 5 күн бұрын
No different companies, but they have historically the same roots -> Philips
@enrac
@enrac 5 күн бұрын
@@my0wn0p1n10n Ah okay, thank you. I was looking for ASM's stock symbol, can't seem to find it, the only other ASM is some mining company.
@HighYield
@HighYield 3 күн бұрын
They are not, but way back in the 80s ASM and Philips founded ASML. Tho today they are different companies.
@benzed1618
@benzed1618 6 күн бұрын
OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOoooooooooooooooooooooooooooooooooooooooooooooooooooooo
@novantha1
@novantha1 5 күн бұрын
While very technically interesting, I wonder if it's a true advancement, or an extension of existing technology. What I mean, is that for instance, a 14nm product is fine, and, all other things being equal, you would expect a 7nm product to be twice as good. Surely. But if the cost per transistor is analogous then when moving to the 7nm product you either get something half the size at the same price, or you get something better at a higher price. The manufacturing around GAA transistors sounds really expensive. I wonder if there will be gains that trickle down to us humble consumers.
@skayakitty625
@skayakitty625 6 күн бұрын
woa🎉😮
@user-me5eb8pk5v
@user-me5eb8pk5v 5 күн бұрын
Should call it channels so you don't have to guess the grey piece does work, like the old ship yards.
@JorgetePanete
@JorgetePanete 2 күн бұрын
3:45 Intel's*
@pham3383
@pham3383 6 күн бұрын
i'm a power electronics engineer,love the thing computer engineers doing
@Illumina_Blade
@Illumina_Blade 5 күн бұрын
What's all this about Trains?
@Alorand
@Alorand 3 күн бұрын
First time I don't get how the sponsor (ASM) expects me to act due to their sponsorship. Are KZfaq viewers going to buy a Fab?
@HighYield
@HighYield 3 күн бұрын
While you could buy a ASM Atomic Layer Deposition tool for your living room, I think it’s more about brand recognition. Now you know them and what they do. Plus, you know they do really cool stuff.
@Matthew-ld9wx
@Matthew-ld9wx 6 күн бұрын
lunar lake will be based on back side power delivery
@maynardburger
@maynardburger 6 күн бұрын
Lunar Lake is all TSMC(3nm and 6nm, I believe). There is no BSPD. Arrow Lake is expected to have Intel 20A process for CPU die though, which is GAA.
@DigitalJedi
@DigitalJedi 6 күн бұрын
18A team here. LNL is on TSMC 3nm, so no BSPDN. Arrow Lake will have both TSMC 3nm and Intel 20A tiles, the latter having BSPDN.
@arianamirgholami9555
@arianamirgholami9555 6 күн бұрын
9:07 pretty sure it's the next exynos chip but because of yield problems most likely it won't find its way into next flagships
@HighYield
@HighYield 5 күн бұрын
Good guess, makes a lot of sense.
@M4xFr4gg
@M4xFr4gg 3 күн бұрын
​@@HighYieldI would guess, it's the next Google SOC. Clearly shared heritage and as such probably easiest to adapt to new Samsung processes, but given Google's market position not as much volume as the Samsung Galaxy S devices.
@supernovahm1178
@supernovahm1178 5 күн бұрын
When I was like 8 I thought that "fin" FET's were named as such, as a kind of mispronunciation of "thin". Stupid sure, but I was young - and as a result I have always been aggravated by the name.
@suhaimiseliman8593
@suhaimiseliman8593 21 сағат бұрын
READ....ONLY 1 CHARGE LEVEL. WHAT'S THE ADVANTAGE OF HAVING > 1 OF THRESHOLD VOLTAGE IF WE CAN JUST INCREASE THE THE THICKNESS OF DIELECTRIC SO THAT GATE CAN HOLD HIGHER CHARGE LEVEL😊
@Ziggurat1
@Ziggurat1 5 күн бұрын
You are both handsome, and you are both smart, so you don't need to worry!
@ChibiKeruchan
@ChibiKeruchan 5 күн бұрын
These kind of changes is the reason why it feels like the devices we buy like 5 to 10yrs ago is more durable than what we have now. expect some of your devices who uses these new design to break faster than what we have now. it's the changes we need to accept and support until the design finally went to it's final development and improvement in the next 10yrs.
@sunefred
@sunefred 6 күн бұрын
Now that the transistors are deposited and no longer masked or etched from the wafer, why do we even need silicon wafers? Could they not be made of anything really?
@pettanshrimpnazunasapostle1992
@pettanshrimpnazunasapostle1992 5 күн бұрын
Silicon is used for its semiconductor property and abundance compared to other semiconductors. Not just because it can be etched into easily
@sunefred
@sunefred 5 күн бұрын
@@pettanshrimpnazunasapostle1992 But thats my point, the semiconductor properties were useful when being doped by gallium or germanium to create the transistor. Now, with the transistor no longer being created from the wafer material, whats the point?
@Mikktor
@Mikktor 2 күн бұрын
I'm sorry but isn't it an electrostatic field and not an electromagnetic field? Electromagnetic would imply moving electrons.
@omid4861
@omid4861 2 күн бұрын
Are u germany ?? Ich glaube ich kanns hören ;-)
@HighYield
@HighYield Күн бұрын
Psst, nicht weitersagen.
@vylrent
@vylrent 3 күн бұрын
Why would ASM sponsor this video knowing very damn well that 0 people can afford it?
@HighYield
@HighYield 2 күн бұрын
Brand recognition and so ppl know what they do I guess. Anyways, super cool sponsor because I'm not shilling something to my audience and ASM has some really incredible technology.
@vylrent
@vylrent Күн бұрын
@@HighYield Makes sense. Was on less brain power when I wrote that.
@FeintMotion
@FeintMotion 5 күн бұрын
gate-r-aid
@briancase6180
@briancase6180 6 күн бұрын
I have to admit I bristle at the confusion between semiconductors--which span a wide range of things--and integrated circuits. TSMC is often called a "semiconductor manufacturer," which is not completely inaccurate, but they don't make, for example, discrete resistors, diodes, transistors, capacitor, etc. TSMC is an integrated circuit manufacturer. Integrated circuits integrate, of course, semiconductors. But, calling TSMC a semiconductor manufacturer misses the mark. These are terms of our art; we should use them correctly.
@David-ty6my
@David-ty6my 4 күн бұрын
A German talking to the camera in english so that I, another German can understand it ❤😂
@HighYield
@HighYield 3 күн бұрын
Und es klappt auch noch 😄
@MissesWitch
@MissesWitch Күн бұрын
I thought these were dental implants!
@kayakMike1000
@kayakMike1000 2 күн бұрын
No one tealy knows what John looks like
@HighYield
@HighYield 2 күн бұрын
He's obviously a deer
@Phoenix56801
@Phoenix56801 5 күн бұрын
It's probably exynos
@dgillies5420
@dgillies5420 6 күн бұрын
I heard that Intel held a parade when its first gate-all-around chip was shipped! It was called, "GAA Pride!"
@maciej12345678
@maciej12345678 22 сағат бұрын
5:45 what is this pseudo micro microfn narration hmm ?
@cefcephatus
@cefcephatus Күн бұрын
The gate is the thin line separating channel core from the top coat. And as it's that thin, it only span a couple atoms of silicon. That's why it's hard to measure. Having a fork gate as next of next gen looks promising. But it's effectively vertical FinFETs, it seems to have 3 gates on 1 channel and will suffer the same way FinFET struggles. If we assumes 5 gates per channel, we might have Ball Gate, which doesn't sound scalable. How about U-GAA, which bend GAA into U curve to make more surface area for the gate to control the channel, it looks better. And finally, 6 gates per channel, that isn't gate, that's quantum computing. Why quantum? Well, as for scalability for 5 gates per channel, it comes down to how to connect them in arrays so it works as intended. With 5 gates, you don't have any choice to establish connection, in fact, it can't even complete the circuit. But with quantum particles, we don't physically connect them. We connect them with waves that makes them entangle. And I think, that's more promising than ForkFETs.
@ruby_linaris
@ruby_linaris 5 күн бұрын
the next step is to swap the gate, and (source with drain). drown the gate, and leave the conductors in the "air", turning the transistor into a system of capacitors, changing not the current, but the charges. Not this about You. Intel's main problem is that there are no engineers left. and the problem of speed is still being solved through circuit closure, which has an amazing effect on energy efficiency, but not the principle of operation itself, in which fields should be controlled, and not create resistive losses.
@kotztotz3530
@kotztotz3530 6 күн бұрын
Nobody can be more handsome and smarter than you!
@Linguae_Music
@Linguae_Music Күн бұрын
Gaafet lol
@vikingforties
@vikingforties 6 күн бұрын
I hope when they're out, they won't be gaabage.
@michahojwa8132
@michahojwa8132 6 күн бұрын
MLID says Samsungs 3nm are close to 7nm tsmc and with bad yields, so bad tha they're planning exynos on tsmc. Qualcomm has moved to tsmc. If not that then id say it's them. Could it be fast ddr6 for AMD - just shooting blind here.
@Diamond_Hanz
@Diamond_Hanz 6 күн бұрын
Intel is about to change the game... Buy the dip
@justinpatterson5291
@justinpatterson5291 6 күн бұрын
What flavor dip we talkin?
@wile123456
@wile123456 6 күн бұрын
I think they'll continue to dip for a while, since they are still missing their road maps, and they haven't gotten EUV perfected yet. They also struggle on their nodes and use TSMC for some chips now. They also are losing hard in servers to amd, who continues to increase core counts and density. But who gets to gate all around first wins high performance compute. TSMC is ahead now, but it's definitly a big bet to think Intel will overtake after a decade of fumbling and they haven't been leading since they first released 14nm
@davidcruz1941
@davidcruz1941 5 күн бұрын
They're going to continue to dip, lunar lake and future chips can't even compete with zen 5 and definitely not with zen 5 x3D
@ChuckTheChosen
@ChuckTheChosen 5 күн бұрын
Doubt it, Intel produce most parts of their chips on tsmc for a reason. There is no point in adopting a technology while being unable to produce it efficiently.
@dustinphillips605
@dustinphillips605 Күн бұрын
I like your positive attitude, but Intel has a long hard road. I'm confident they can do it in the long run, but I'm thinking 5-10 years of hard work to get back what they lost.
@robertwoodroffe123
@robertwoodroffe123 3 күн бұрын
Japanese
Why next-gen chips separate Data & Power
18:56
High Yield
Рет қаралды 157 М.
The Gate-All-Around Transistor is Coming
15:44
Asianometry
Рет қаралды 448 М.
터키아이스크림🇹🇷🍦Turkish ice cream #funny #shorts
00:26
Byungari 병아리언니
Рет қаралды 29 МЛН
NERF WAR HEAVY: Drone Battle!
00:30
MacDannyGun
Рет қаралды 44 МЛН
When an ASML Lithography Machine Goes Down
13:40
Asianometry
Рет қаралды 151 М.
The Numitron: An obvious idea that wasn't very bright
23:21
Technology Connections
Рет қаралды 944 М.
How are Microchips Made? 🖥️🛠️ CPU Manufacturing Process Steps
27:48
Branch Education
Рет қаралды 2,2 МЛН
The moment we stopped understanding AI [AlexNet]
17:38
Welch Labs
Рет қаралды 196 М.
Why This New CD Could Change Storage
14:42
ColdFusion
Рет қаралды 800 М.
new SSH exploit is absolutely wild
11:59
Low Level Learning
Рет қаралды 242 М.
The Man Who Solved the World’s Hardest Math Problem
11:14
Newsthink
Рет қаралды 320 М.
How long can Nvidia stay monolithic?
14:02
High Yield
Рет қаралды 43 М.
The Problem with Wind Energy
16:47
Real Engineering
Рет қаралды 1,4 МЛН
How backspin ACTUALLY works - in super slow motion
15:50
Steve Mould
Рет қаралды 671 М.
После ввода кода - протирайте панель
0:18
Up Your Brains
Рет қаралды 1 МЛН
1$ vs 500$ ВИРТУАЛЬНАЯ РЕАЛЬНОСТЬ !
23:20
GoldenBurst
Рет қаралды 1,5 МЛН
Собери ПК и Получи 10,000₽
1:00
build monsters
Рет қаралды 2,2 МЛН