MOD 3 Asynchronous Counter

  Рет қаралды 141,878

TutorialsPoint

TutorialsPoint

6 жыл бұрын

MOD 3 Asynchronous Counter
Watch more videos at www.tutorialspoint.com/videot...
Lecture By: Ms. Gowthami Swarna, Tutorials Point India Private Limited

Пікірлер: 52
@TutorialsPoint_
@TutorialsPoint_ Жыл бұрын
Check out Digital Electronics courses at:
@jeetenderkakkar7570
@jeetenderkakkar7570 4 жыл бұрын
Hello Gowthami
@nikhilchourasiya4720
@nikhilchourasiya4720 5 жыл бұрын
Too much thanks mam for nice explanation 👌👌👌👌👌👌👌
@krishnaprasad4711
@krishnaprasad4711 Жыл бұрын
If you take both are negative edge trigger then how the first flip flop is enabled to given the input of clock high
@ayushrathaur7969
@ayushrathaur7969 5 жыл бұрын
Play it in 2x speed for 5-10sec than play it in 1.5x 😊🙂 it will work
@suriyaprakasha4274
@suriyaprakasha4274 5 жыл бұрын
super lecture thank you
@sathishkumark5108
@sathishkumark5108 3 жыл бұрын
Ma'am, please provide me mod 5 counter (synchronous) using jk and t flipflop
@arifurrahman6950
@arifurrahman6950 4 жыл бұрын
i'm from bangladesh, you help me a lot thanks mam.
@maheshthadaka8273
@maheshthadaka8273 4 жыл бұрын
Madam..in output in last pulse whether it counts 3 or not or while changing to 3rd count it will reset..confirm madam?
@mohammedsyafiq1499
@mohammedsyafiq1499 2 жыл бұрын
explained to perfection love u
@shubhamragit3851
@shubhamragit3851 5 жыл бұрын
Thanks ma'am
@vikashKumar-ix4vz
@vikashKumar-ix4vz 3 жыл бұрын
Mam first flip flop also have negative clock pulse as shown in ckt.
@physionics_susmita
@physionics_susmita 3 жыл бұрын
Helpful video ma'am🙏🙏🙏
@g.sivaramasakthivel6035
@g.sivaramasakthivel6035 5 жыл бұрын
Awesomely explained thank you 👌
@arifurrahman6950
@arifurrahman6950 4 жыл бұрын
thanks a lot .......
@gopigunnasetti6813
@gopigunnasetti6813 5 жыл бұрын
Very nice
@thotapallyanil4769
@thotapallyanil4769 5 жыл бұрын
Mam plz explain about mod 6 asynchronous counter using T flipflop
@AfzalKhan-cd6ii
@AfzalKhan-cd6ii 3 жыл бұрын
Nice teaching
@ShivaKumar-xq8yk
@ShivaKumar-xq8yk 6 жыл бұрын
Mam
@lizasam406
@lizasam406 3 жыл бұрын
How to make a counter cicuit which stop at 100
MOD 12 Counter
19:43
TutorialsPoint
Рет қаралды 187 М.
Synchronous Counter
12:28
TutorialsPoint
Рет қаралды 350 М.
Nastya and SeanDoesMagic
00:16
Nastya
Рет қаралды 41 МЛН
Son ❤️ #shorts by Leisi Show
00:41
Leisi Show
Рет қаралды 4,4 МЛН
MISS CIRCLE STUDENTS BULLY ME!
00:12
Andreas Eskander
Рет қаралды 20 МЛН
Introduction of Asynchronous Counter
8:46
TutorialsPoint
Рет қаралды 229 М.
How to Design Synchronous Counters | 2-Bit Synchronous Up Counter
12:57
Neso Academy
Рет қаралды 1,2 МЛН
Modulus of the Counter & Counting up to Particular Value
13:30
Neso Academy
Рет қаралды 998 М.
Mod 3 Synchronous Counter using JK flip flop | Mod-3 Up Counter | 2 bit synchronous counter
7:12
Asynchronous Counters (Ripple Counters) Explained | Binary Up/Down Ripple Counters
19:09
MOD- 5 synchronous counter using JK flip flop
10:33
Learning with RACHIT MANCHANDA
Рет қаралды 98 М.
Ripple Up Counter
13:48
TutorialsPoint
Рет қаралды 309 М.
Nastya and SeanDoesMagic
00:16
Nastya
Рет қаралды 41 МЛН