The History of the Semiconductor Photomask

  Рет қаралды 138,255

Asianometry

Asianometry

Жыл бұрын

As a fundamental part of the lithography puzzle, the photomask has a fascinating history that goes all the way back to the very beginning.
So in this video, we are going to look at this essential piece of the semiconductor manufacturing process from rubylith to EUV: The photomask.
Links:
- The Asianometry Newsletter: asianometry.com
- Patreon: / asianometry
- The Podcast: anchor.fm/asianometry
- Twitter: / asianometry

Пікірлер: 242
@BB-iq4su
@BB-iq4su Жыл бұрын
So nostalgic. My first IC design, a silicon bipolar (gold doped) counter, using ruby in 1960s. Errors in ruby masks were search for for hours. Sign off was required by three engineers, test, production and design. My first design, just out of college, worked and beat the competition. They gave me a 30% raise! Each week I cashed my paycheck and carried the money in my pocket for a few days.....My last design was in the 2020s, an extremely low phase noise VCO in CMOS. Working in semiconductors was a fun trip. I miss the challenges and people.
@rkan2
@rkan2 Жыл бұрын
You can always go Sam Zeloof :P
@alexa.davronov1537
@alexa.davronov1537 Жыл бұрын
But why did you stop designing them?
@paulmuaddib451
@paulmuaddib451 Жыл бұрын
@@alexa.davronov1537if he quit after 2020, it's because he retired...after approximately 60 years in the biz.
@stachowi
@stachowi Жыл бұрын
you would be an interesting person to have dinner with, the stories you could tell!
@SeanMaisonneuve
@SeanMaisonneuve 8 ай бұрын
Amazing comment. Enjoy your retirement. You deserve it!
@Waccoon
@Waccoon Жыл бұрын
My dad used to work in R&D for this stuff back in the 70's while developing a gate library for a 5 micron process. Defects where high at first, so a lot of stuff was discarded. My dad brought home a collection of, er, "souvenirs". No Rubylith, of course, but I have a bunch of 10x and 100x Mylar reductions, and several original photomasks, some of which still have their pellicle intact (which is impressive, seeing how thin and fragile these protective layers are). One of my favorite things to do when I was a kid was look at this stuff and tons of reject wafers under a microscope. I didn't appreciate how privileged I was to have access to this kind of technology and use real Calma and Apollo systems at the GTE Student Workshop. At the time, I kinda figured this is what everyone's dad did at work. 8)
@diophantine1598
@diophantine1598 Жыл бұрын
That's awesome. I wish there was a museum which had images of the designs of these chips. We may buy the CPU, but we will never know the beautiful designs that went into designing these processors.
@campbellmorrison8540
@campbellmorrison8540 Жыл бұрын
Yes I used to make rubylith masks for thick film printing and that was painful enough let alone trying to cut a chip design. It was quite satisfying when done but stressful until the last strip had been removed without tearing.
@Theoryofcatsndogs
@Theoryofcatsndogs Жыл бұрын
did you use tapes to secure the film?
@campbellmorrison8540
@campbellmorrison8540 Жыл бұрын
@@Theoryofcatsndogs To be honest it was such a long time ago Im not absolutly sure but Im pretty sure we did. I was cutting against A1 graph paper and that was stuck with tape to a light board so it would seem sensible have taped the rubylith but I know it was sensitive so it would have been masking tape not packing tape. The only reason for my hesitation is we did have a vacuum table too but I dont recall using that for this
@TheUmbrex
@TheUmbrex Жыл бұрын
oh shit, didnt think about tearing ..the delicate balance of piercing just enough to peel and not enough to tear
@russell2952
@russell2952 Жыл бұрын
This has got to be the best video on chip manufacturing. Every other video glosses over the creation of the photomasks as if it's trivial, but it's the key to the process.
@user-xj5xp6qz5g
@user-xj5xp6qz5g 9 күн бұрын
I still don't understand how they produce a stencil with billions of transistors on it
@mortenhattesen
@mortenhattesen Жыл бұрын
Zwischennegativ (German) is a compound word, consisting of: 1. Zwischen (en: in-between). 2. Negative (en: negative). - which clearly describes its purpose being an intermediate scale negative.
@ronnetgrazer362
@ronnetgrazer362 Жыл бұрын
Pronounced "tswissionnehgahteev".
@coreliner8972
@coreliner8972 Жыл бұрын
As always wonderful video.142x142 without any defect and that after passing multiple foup door openers, handling robots, heating chambers, ald units, etc is a feat in and of itself. There is always a particle, no matter which size, waiting around the corner to sneak in and ruin your day. You should consider making a video about the crazy tech behind ISO 1 cleanrooms and what it takes to keep them in check.
@video99couk
@video99couk Жыл бұрын
3:44 This has brought memories back of working on primitive GCA resist and developer track systems back in the 1980s. They were programmed via paper tape, and would literally blow the 4" wafers along a track from cassette to spinner to apply resist, then bake and on to another cassette. If a wafer covered in resist broke in the spinner it was a real mess to clean up. We didn't have a GCA stepper though, the wafers would go to a Perkin Elmer Micralign or a Canon mask aligner, or later steppers.
@PetsoKamagaya
@PetsoKamagaya Жыл бұрын
Did you know that initially ASML and Intel did not want a pellicle on the EUV mask? Back in 2009 or thereabouts, the EUV light source did not have enough power and therefore the stakeholders wanted to eliminate anything that would absorb the EUV light. The pellicle materials available at the time would absorb the EUV energy. The entire EUV litho chamber (wafer and reticle stage) has to be a vacuum so that the air won't absorb the EUV energy. To introduce the EUV reticle into the chamber, the reticle pass-through had to be pumped down to a vacuum, and therefore the reticle pod had to be all metal to keep the pumping time as short as possible. No pellicle, no polymer contact points on the reticle pod meant that the hard metal contacts gauged the reticle glass, causing particles on the non-pellicle EUV mask. So ASML decided to allow super tiny polymer contact points in the reticle pod to prevent such gauging. And eventually a very low absorption pellicle was developed and more powerful EUV light sources were realized. It was a lot of fun working on this stuff!
@omegapm4699
@omegapm4699 Жыл бұрын
Thanks! You have a gift for making the potentially mundane ....entertaining. More of these would be welcome 😊
@rydplrs71
@rydplrs71 Жыл бұрын
Electrostatic chucks (esc’s) are very common in etch, implant, ash and pvd steps. Mechanical clamps went away during the 200mm years. When I started the mask making and inspection tools were still in the fab, but retired. I’ve run perkin elmer aligners up to DUV step and scan, with contact and proximity aligners and a bit with EUV along the way. Pellicles are important to repeatability, but when an expedite lot came along I personally went to shipping and repossessed a needed mask, ripped off the damaged pellicle and ran the product. Even a 50% yield loss was preferable to missing a shipping deadline. The focus shift was within tolerance for the layer.
@ntabile
@ntabile Жыл бұрын
We remember resist overflow at the back of the wafer that caused e-clamping issue at our implanter with e- clamp type of chuck
@Martinit0
@Martinit0 2 ай бұрын
So masks with damaged pellicle were sent for repair?
@nickj2508
@nickj2508 Жыл бұрын
15:34 the print area in use is 142x136. Some defects are acceptable in areas that can be obscured by the pattern, i.e. hidden under the carpet.
@StephenGillie
@StephenGillie Жыл бұрын
In the early days of the chip shortage, I was confused because I understood only that the lithography process was very similar to shirt screen printing. But your other videos have helped me to learn how much more complicated it is to make modern chips.
@herrbonk3635
@herrbonk3635 Жыл бұрын
What chip shortage? The current? There have been numerous for the last 50 years.
@CRneu
@CRneu Жыл бұрын
Oh rad video. I work in CD-SEM right now, mostly with Hitachi tools, so this video is very on point for me. I've also worked directly with reticles/masks in relation to Nikon and ASML stepper tools and even opened up the reticle pods for inspection, so again this hits pretty close for me. I often recommend your videos to coworkers. Another great video!
@Mkoivuka
@Mkoivuka Жыл бұрын
We used stereolithography to print 3D models of patient's heads using MRI scans in the 1980's and 90's =) Was a super cool tech at the time
@KomradZX1989
@KomradZX1989 Жыл бұрын
Oh if you put the work into a video about the Cambrian explosion like you do with all your others I’d watch it in a heartbeat ❤
@chefnyc
@chefnyc Жыл бұрын
Pangeanometry
@KomradZX1989
@KomradZX1989 Жыл бұрын
@@chefnyc haha love it!
@charlesrovira5707
@charlesrovira5707 Жыл бұрын
Fun playing with slabs of *_Burgess Shale..._*
@scottfranco1962
@scottfranco1962 Жыл бұрын
IC masking and screen printing: Well, I think more accurate to say that these techniques were well known from the manufacture of printed circuit boards, which were in full swing at the time of the first ICs, and from there you get back to printing, both screen printing and lithography. Also, resists were in use before ICs, used to perform etching on metal, rock and other surfaces, which is very much a thing today. In fact, etching glass with acid, still done today, is almost a direct line to ICs, since silicon dioxide is basically glass.
@mattychou
@mattychou Жыл бұрын
Would you be able to talk about the company Lasertech in future videos? They seem to be dominant in photomask defect inspection equipment. Thanks a lot for all the great informative videos!
@Pepsodent08
@Pepsodent08 Жыл бұрын
While rubylith has been replaced with digital tools in screen printing, the photolithography process is mostly still there. Printers use exposure units to burn an image into a screen impregnated with emulsion. Wash out the uncured emulsion and force ink through the screen to make an image.
@TimPerfetto
@TimPerfetto Жыл бұрын
What time is it? I don't know. Do you? No. Nobody does. Something might. Not possible to discuss a nothing. Not sure. No way of knowing. Hair? Yes. For now. No hair equals hair. Yes? Yes. No. Hair? Hair today not sure when. Transistors are smaller than hair. Sometimes? Two times. Three. Hair.
@Baronvonbadguy3
@Baronvonbadguy3 Жыл бұрын
You know we want that defect detection video 💪
@alanparker3130
@alanparker3130 Жыл бұрын
Hooray, we got the 5k upvotes, so looking forward to the follow-up on measuring and correcting defects. Nothing scratches my nerdy itch like Asianometry's YT channel.
@RobertLBarnard
@RobertLBarnard Жыл бұрын
I appreciate your videos so much! Having studied electrical engineering in the early and mid 1980's and upon starting my career as a test engineer (designing a building tests for a process control product line, plenty of analog and digital) it seemed the need for EE's was going overseas. Hence, I moved into supermini computers and learned the architecture of such things as ECL processors with such things as "burst pipeline architecture". Eventually I moved into Fintech, but kept my hand in the EE side, being able to visualize how virtualization typically offiscates the sources of nonperformant causes (because they happen on the actual/physical hardware). I sure appreciate catching up and learning a bit about this end of the technology stack.
@DanielCGCG
@DanielCGCG Жыл бұрын
No need to flex so hard. Make a separate story time comment! I do want to hear more
@SuperCuriousFox
@SuperCuriousFox Жыл бұрын
For those who work on MEMS and microfluidics contact aligners are still used a lot, because it’s easier to design custom (foil) masks. They often only use our ASML stepper to expose a zero layer with all their alignment markers.
@Sb_747
@Sb_747 Жыл бұрын
It’s really amazing that making microchips is basically just figuring out how to make really really tiny mono color photos.
@IamFlyingSpaghetti
@IamFlyingSpaghetti Жыл бұрын
Stamp of approval (as all of your vids get) from a lil litho engineer me
@tommy2cents492
@tommy2cents492 Жыл бұрын
Nice!!! I liked the early history part! But then there was a big jump, skipping over: migration from 5x to 4x masks, alternating phase shift masks and attenuated phase shifting masks before you got to the masks for EUV. Did you know that there have been investigations into maskless lithography? And direct write ebeam lithography?
@pizzablender
@pizzablender Жыл бұрын
I remember that, E-beam litho. It was way too slow, as electrons repel when you have many of them together.
@punditgi
@punditgi Жыл бұрын
Another instructive video. I always learn a lot. 👍
@diptree
@diptree Жыл бұрын
I remember the rubylith mask making from my graduate school days in a microelectronics and VLSI research laboratory in the 80's. Things have really moved quite a bit since those early days. Things have become so increasingly 'hi-tech' that setting up a plant can cost up to double digit billions of dollars.
@roberth9814
@roberth9814 5 ай бұрын
"I do love how early semiconductor people were just borrowing here-and-there from all sorts of different industries." Or as Werner Herzog said: "We are not garbage collectors. We are filmmakers. We are thieves. We get away with loot from the most beautiful or the most scary."
@JK-zx3go
@JK-zx3go Жыл бұрын
I used to make the PCB traces up with roles of tape and roles of adhesive track pads.
@johnnason7019
@johnnason7019 Жыл бұрын
I grew up in a sign shop my parents owned in the 90's and we used Rubylith to make silk screens, I had no idea it was used for semiconductors.
@nexusyang4832
@nexusyang4832 Жыл бұрын
One man’s tshirt is another company’s gpu design. 🤣
@brodriguez11000
@brodriguez11000 Жыл бұрын
Might come full circle once people start integrating electronics into clothing.
@nexusyang4832
@nexusyang4832 Жыл бұрын
@@brodriguez11000 they are now...the market is called wearables. :)
@dwaynezilla
@dwaynezilla Жыл бұрын
Now I want to see the Cambrian Explosion video you'd make
@MostlyPennyCat
@MostlyPennyCat 8 ай бұрын
10:47 i think that image more accurately represents the Ediacaran period rather than the Cambrian. Or pre-Cambrian if you want to call it that
@sashimanu
@sashimanu Жыл бұрын
14:50 chromium nitride (a tough, wear resistant compound, like many other metal nitirdes) is confused with chromium nitrate (a meh water soluble salt)
@michaljurkovic
@michaljurkovic Жыл бұрын
Great content, as always. Maybe you could do a follow-up video with leading edge mask writers (the dawn of VSB and birth of Multibeam mask writers), Mask inspections (CD measurements, Registration measurements, Defect inspection and Mask repair).
@leyasep5919
@leyasep5919 Жыл бұрын
That's an amazing introduction to the field, I'm waiting for the follow-up !
@rnb250
@rnb250 Жыл бұрын
A series of chip history would be great 🤙
@misterdeedeedee
@misterdeedeedee Жыл бұрын
a chuck in industry and machining is a tool used to hold other tools like drill bits and end mills or the work itself like on a lathe, so an electrostatic chuck is just a work holding tool that holds via electrostatics rather than physical or magnetic clamping that is used in the macro scale.
@johnmoorefilm
@johnmoorefilm Жыл бұрын
Sir , your videos are exceptional, thank you for being a wonderful decent human and putting so much hard work into helping others gain knowledge
@pdsnpsnldlqnop3330
@pdsnpsnldlqnop3330 Жыл бұрын
I like this new school teacher approach of asking a question requiring thought, then the patreonewsletter, then the time is up. John's assurance that he knows more than the kids in class steps up every week (as their minds get blown).
@nickj2508
@nickj2508 Жыл бұрын
@13:29 the print area is 142x142 the total area is 152x152mm, AKA "6" inch mask, 1/4 inch thick.
@tommy2cents492
@tommy2cents492 Жыл бұрын
As far as I know, at 1x the largest image field that can be exposed on a scanner is 26 x 33 mm (rectangular).
@michaljurkovic
@michaljurkovic Жыл бұрын
@@tommy2cents492 That's after 4x reduction in scanner. 26x33 mm *4 in all dimensions = 132 * 104 mm for the main device layout on the photomask. The remaining area can be covered with Labels, test patterns, markers, barcodes, etc.
@Sir_Uncle_Ned
@Sir_Uncle_Ned Жыл бұрын
Nowadays the cutting-edge stuff is nearing 4 NANOmetre-sized elements. That's 4/1000ths of a micrometre - And they keep getting smaller. We're already experiencing issues with electrons wandering through a switched-off transistor thanks to Quantum Tunneling, but somehow that is worked around and size keeps shrinking. Before too long we could be working with elements measured by individual atoms.
@NikolausUndRupprecht
@NikolausUndRupprecht Жыл бұрын
6:19 I stopped to read what the (stock footage) printing plate said: The text is truncated in all directions. However, it appears to be a summary of someone's biography who lived approximately 100 years ago visited school, joined the Army during the First World War, survived and completed school afterwards. Apparently, this person grew up near Trier and later moved to Essen.
@john_in_phoenix
@john_in_phoenix Жыл бұрын
If you want to make a video about the Cambrian explosion, I for one would gladly watch it.
@vote4jellodk
@vote4jellodk Жыл бұрын
It’s funny how screen printing is still an important step in the semiconductor industry with the use of stencils for printing solder paste onto bare PCBs.
@tyreni
@tyreni Жыл бұрын
Another home run! Absolutely love your videos.
@versuch4574
@versuch4574 Жыл бұрын
Looking forward to the follow up video on EUV Defectivity!
@123zB3avisz123
@123zB3avisz123 Жыл бұрын
You do a fantastic job in your explanations. Glad I found your channel last year!
@sahandn9
@sahandn9 Жыл бұрын
Great video! Thanks for the effort you put in for making such high quality videos
@tombouie
@tombouie Жыл бұрын
Thks & I kinda followed the cool domain jargon but still half was over-my-head. Request you do a chip-stuff for dummies like-me playlist w/o all the cool domain jargon.
@El.Duder-ino
@El.Duder-ino Жыл бұрын
Another excellent episode, support and growth of this channel is very logical and inevitable! Keep on doing great work!👏
@arandomperson8336
@arandomperson8336 Жыл бұрын
14:19 If there's more than 2 zeroes it's best to go to scientific notation or use some other well-understood shorthand (like ppb)
@diegoantoniorosariopalomin2206
@diegoantoniorosariopalomin2206 Жыл бұрын
day 2 of asking Asianometry to cover nanoimprint, complementary electrom beam litography or other alternatives to EUV
@diegoantoniorosariopalomin2206
@diegoantoniorosariopalomin2206 Жыл бұрын
Fun fact, while researching the topic i found that inmersion litography can be done with solids, so duv could be extended even more than it already has
@andersjjensen
@andersjjensen Жыл бұрын
None of those are alternatives to EUV. They can be used to make chips both cheaper and faster than EUV. But if you require the feature size of EUV lithography there are no alternatives.
@diegorosario2040
@diegorosario2040 Жыл бұрын
@@andersjjensen nanoimprint litography and electrons beams can be used for smaller features, specially the latter. However they are untested for high end processes
@kentswan3230
@kentswan3230 Жыл бұрын
My first experience in chip making was in the mid to late 70's when I worked for (Milgo->ICC-> Racal-Milgo)'s and their development of the 24LSI and subsequently the 9600 LSI modems. The custom chip(s) in these were fabricated using Rubylith masks.
@jacob_90s
@jacob_90s Жыл бұрын
Yes! Been waiting for this one for a long time
@teddyshapedsoap
@teddyshapedsoap Жыл бұрын
Incredibly interesting video as always!
@philippepanayotov9632
@philippepanayotov9632 Жыл бұрын
Sir, thank you for making those videos so interesting and accessible.
@chefnyc
@chefnyc Жыл бұрын
Maybe time to rename the channel to “Semiconductors and other stuff”. I get super excited when one of these videos come out. Nothing wrong with how different countries messed up land reform or high tech industries..
@hughmungusbungusfungus4618
@hughmungusbungusfungus4618 Жыл бұрын
Excellent video!
@tykjpelk
@tykjpelk Жыл бұрын
Awesome, thank you for making this!
@VioletPrism
@VioletPrism Жыл бұрын
Love your videos so much!!!
@joetkeshub
@joetkeshub Жыл бұрын
Great job! Thank you!
@bok..
@bok.. Жыл бұрын
Please do make a video on the Cambrian explosion. I know it's not really the genre you do but honestly it's a very interesting time on earth.
@charlespax
@charlespax Жыл бұрын
Please do more!
@donaldklopper
@donaldklopper Жыл бұрын
Maaaeeehhsks? At 13:00. Was that on purpose? Awesome history lesson. I worked somewhat in the printing industry, avid photography noob, and am getting into printed circuit boards now. Love your content.
@jamesbanq3660
@jamesbanq3660 Жыл бұрын
Gosh I love this channel
@lancerudy9934
@lancerudy9934 6 ай бұрын
Great video thanks
@johnjacobjinglehimerschmid3555
@johnjacobjinglehimerschmid3555 Жыл бұрын
Thanks for the many fabrication videos that you've produced. I loved the time I worked in the industry and you bring explanations that I didn't know even after 20 years.
@arnaudbresson5620
@arnaudbresson5620 Жыл бұрын
Great ! I remember years ago reading exactly the same extract from the book about Commodore and always wanted to see images of manually drawn 500x mask precursors but was never able to find the appropriate keywords to google it successfully. Thank you !
@sandman0123
@sandman0123 Жыл бұрын
Thanks for another great video! (Cambrian explosion - yes please!) Rubylith!! I mentioned it at work the other day and except one "mature" engineer, nobody new what it was. 😆 While at uni, I caught the very end of the rubylith age. By that time, it was only used for thin film hybrid integrated circuits, to help create the conductive pattern on glass or ceramic (I think... We used glass). By the time I started work, I never heard rubylith mentioned. I liked the colour though. Very ruby! 😀
@Maleko48
@Maleko48 Жыл бұрын
I want to see the video on defects and their corrections next for sure!
@daedalus_00
@daedalus_00 Жыл бұрын
You should do a video on the Cambrian Explosion.
@enilenis
@enilenis Жыл бұрын
Amazingly interesting. I do "human-size" board design, and yet I know almost nothing about high precision lithography. Love videos like these.
@rb8049
@rb8049 Жыл бұрын
I’ve done Rubylith! Wish I had the ability to just send data and receive a mask back then. No, all the reduction steps and chemical steps…
@robertpearson8546
@robertpearson8546 5 ай бұрын
What about the 1979 Fujitsu X-Ray Chlorine etching? The X-Ray is tuned to the energy needed to disassociate the chlorine molecule. Thus where the mask allows the laser to pass, the chlorine ions etch the substrate, eliminating the resist. Coupled with the X-ray mirrors, the X-ray laser intensity can be high.
@LydellAaron
@LydellAaron Жыл бұрын
2:37 is an intriguing connection.
@jeffskent
@jeffskent Жыл бұрын
I'm halfway through Chris Miller's book Chip War at the EUV chapter. In light of the recent Chip Act and the executive orders regarding the sale of chips and chip making equipment to China by the Biden White House, how about a video explaining how these recent developments effect the chip industry as a whole and China in particular?
@chefnyc
@chefnyc Жыл бұрын
Or how ASML or TSMC are investing in US. I see bunch of US job openings lately.
@pdsnpsnldlqnop3330
@pdsnpsnldlqnop3330 Жыл бұрын
Sadly comment moderation would not allow me to honestly write my feelings towards these politicians and the sinophobia they incite.
@root_pierre
@root_pierre Жыл бұрын
It's even better to think about screen printing techniques being used to create chip tech that ultimately killed most paper-based media that gave birth to it. Sounds like a perfect Greek tragedy adaptation to me.
@abhijitbk9985
@abhijitbk9985 6 ай бұрын
Thanks!
@clintcowan9424
@clintcowan9424 Жыл бұрын
Incredible
@jacobmalkin2612
@jacobmalkin2612 Жыл бұрын
Please make a video about the Cambrian explosion!
@ntabile
@ntabile Жыл бұрын
Resist coating that has not been stripped well is the "enemy" of Implant as too much outgassing is experience in the early days of wafer manufacturing. We will just see the chamber and the surrounding area as full of resists by products that cause potential wafer scrappage due to particle during implant. Wafer patterns are scratched in a batch processing type of implant.
@cosmiceon
@cosmiceon Жыл бұрын
@asianometry Please make a video about the Cambrian explosion
@nexusyang4832
@nexusyang4832 Жыл бұрын
Second the motion.
@tpcdude
@tpcdude Жыл бұрын
At Intel in the 70's we always put 4 "test coupons" on the edges of each wafer and during the process we tested their function with probes and if they all didn't work we would shitcan the wafer to keep from packaging crap circuits ... wonder if they still have to do that today?
@tommy2cents492
@tommy2cents492 Жыл бұрын
I don't think so. Wafers need to be extremely uniform throughout all the processing steps. Having dedicated coupons would break that uniformity and may lead to performance issues in adjacent dies. The amount of wafer metrology has exploded over the last decades to monitor all processing steps. I think that information is used to predict final yield. Being a 'yield engineer' is not easy...
@herrbonk3635
@herrbonk3635 Жыл бұрын
So the ICs were not tested before bonding? But when already placed in a DIL package?
@tpcdude
@tpcdude Жыл бұрын
@@herrbonk3635 No tested even before dicing up the wafer using super find probes adjusted for the coupon circuit.
@herrbonk3635
@herrbonk3635 Жыл бұрын
@@tpcdude Yes, that's what you said. But I meant the other normal ICs. If they were tested before bonding and packaging what would "packaging crap" mean?
@alexhajnal107
@alexhajnal107 7 ай бұрын
What is done is putting test circuitry, test points, etc. in the area between the dice. Once a wafer has been fully fabricated all the dice on the wafer are tested and any defective ones are marked. The test circuitry ends up being destroyed when the wafer is sliced.
@andersjjensen
@andersjjensen Жыл бұрын
It was not specifically mentioned if TSMC also makes EUV masks? Or "develops" them at least (to use a photo analogy), as I'm sure they're not trying to make the reticle mirrors from scratch.
@michaljurkovic
@michaljurkovic Жыл бұрын
Do you mean printing the EUV masks, or manufacturing the EUV masks? As mentioned in video, TSMC has their own maskshop for printing of the masks. From manufacturing point, there are few players on the field, but one company owns almost entire market of EUV masks (and I think the company was not mentioned in this video).
@andersjjensen
@andersjjensen Жыл бұрын
@@michaljurkovic I meant the printing part.
@taiwanluthiers
@taiwanluthiers Жыл бұрын
No wonder TSMC engineers get paid big bucks... I mean at its core it's basically silk screen printing that anyone can do in their garage but it's so precise.
@AVUREDUES54
@AVUREDUES54 Жыл бұрын
Yooooo this is posolutely s-tier content
@richteffekt
@richteffekt Жыл бұрын
Try "Tsvishen-Negateef" that should sound about right.
@richteffekt
@richteffekt Жыл бұрын
It just means intermed- or in-between negative.
@rayoflight62
@rayoflight62 Жыл бұрын
IC masking is more dark magic than high-tech machinery. Thank you for this video...
@mohamedaboelenein7727
@mohamedaboelenein7727 Жыл бұрын
Please make a video about Cambrian explosion!
@hankhulator5007
@hankhulator5007 Жыл бұрын
Hi Asian, please tell Onometry she got it wrong about the 6 PPM @14:10 , as PPM always refers to the full base unit, hence 6 PPM ( of a _meter_ ) is : 0.000006 mm (six millionths of a millimeter = 6 micrometres = 6 µm). That is odd, as PPM is very rarely used for lengths.
@AkumaQiu
@AkumaQiu Жыл бұрын
You channel is epic af
@nexusyang4832
@nexusyang4832 Жыл бұрын
17:42 - it’s a literal reflection. 😁
@jimurrata6785
@jimurrata6785 Жыл бұрын
Jon is always punning.
@blanchjoe1481
@blanchjoe1481 Жыл бұрын
Dear Asianometry, The obvious conclusion from this well developed piece is the inherent limitations of the "Silicon Chip" as a technology for the future, continuing development to smaller and smaller units of measurement will be unfeasible and costly in the extreme. One wonders if the Asimovian Positronic Brain is a direction for the future ( smile ). With 3 Billion years of trial and error development the "Brain", an organic system of information and memory processing that is smaller, uses less power than our most efficient chipsets, may represent a different direction.
@johnjacobjinglehimerschmid3555
@johnjacobjinglehimerschmid3555 Жыл бұрын
The two decades that I worked at Atmel Corp. I worked strip, etch, eng tech. I always wanted to work photo.
@johnjacobjinglehimerschmid3555
@johnjacobjinglehimerschmid3555 Жыл бұрын
I'm not sure where they got their reticles from. But that was a place I also wanted to work.
@robadzso
@robadzso Жыл бұрын
Cvisshen negative - roughly translates to in-between negative 02:55
@JayAntoney
@JayAntoney Жыл бұрын
Please make the video on euv repair/eco system
@helmut666kohl
@helmut666kohl Жыл бұрын
awesome
@GovernmentFails
@GovernmentFails Жыл бұрын
you should make a video about the cambrian explosion
@R3tr0V3rt1g0
@R3tr0V3rt1g0 Жыл бұрын
Have you considered doing a video on the Iranian or Turkish semiconductor industry?
@mvadu
@mvadu 3 ай бұрын
17:13 given this video got 7.5k likes in Feb 2024 you need to do that video now
EUV’s Most Difficult Challenge
14:17
Asianometry
Рет қаралды 85 М.
AMD: How It All Began
22:27
Asianometry
Рет қаралды 135 М.
Can You Draw The PERFECT Circle?
00:57
Stokes Twins
Рет қаралды 88 МЛН
ХОТЯ БЫ КИНОДА 2 - официальный фильм
1:35:34
ХОТЯ БЫ В КИНО
Рет қаралды 2,1 МЛН
Follow @karina-kola please 🙏🥺
00:21
Andrey Grechka
Рет қаралды 25 МЛН
КАРМАНЧИК 2 СЕЗОН 5 СЕРИЯ
27:21
Inter Production
Рет қаралды 522 М.
A Brief History of Semiconductor Packaging
18:31
Asianometry
Рет қаралды 166 М.
How an ASML Lithography Machine Moves a Wafer
16:15
Asianometry
Рет қаралды 398 М.
Why 157nm Lithography Failed
17:45
Asianometry
Рет қаралды 133 М.
Intel’s Next Breakthrough: Backside Power Delivery
19:13
Asianometry
Рет қаралды 88 М.
The History of the FPGA: The Ultimate Flex
18:08
Asianometry
Рет қаралды 334 М.
How Japan Won Lithography (& Why America Lost)
21:43
Asianometry
Рет қаралды 258 М.
The Birth, Boom and Bust of the Hard Disk Drive
22:02
Asianometry
Рет қаралды 290 М.
The Gate-All-Around Transistor is Coming
15:44
Asianometry
Рет қаралды 436 М.
The Unreasonable Effectiveness of Atomic Layer Deposition
16:53
Asianometry
Рет қаралды 132 М.
Can You Draw The PERFECT Circle?
00:57
Stokes Twins
Рет қаралды 88 МЛН