VLSI - Lecture 7e: Basic Timing Constraints

  Рет қаралды 8,311

Adi Teman

Adi Teman

Күн бұрын

Bar-Ilan University 83-313: Digital Integrated Circuits
This is Lecture 7 of the Digital Integrated Circuits (VLSI) course at Bar-Ilan University. In this course, I cover VLSI circuit design, starting with the technology and through the design of complex digital circuits, such as multipliers and memory blocks.
Lecture 7 discusses Sequential Synchronous Circuit Design, including the overall approach, timing constraints and the design of sequential elements. Section 7e presents the basic timing constraints of synchronous logic design, i.e., max-delay (setup) and min-delay (hold).
Lecture slides can be found on the EnICS Labs web site at:
enicslabs.com/academic-course...
All rights reserved:
Prof. Adam Teman
Emerging nanoscaled Integrated Circuits and Systems (EnICS) Labs
Faculty of Engineering, Bar-Ilan University

Пікірлер: 13
@slenderxie
@slenderxie 2 жыл бұрын
This is the BEST course I can ever find anywhere online. Very detailed, clearly explained, well outline and very comprehensive. I am Materials Science by education with little EE background. I used to work as fab process/device engineer and now I work as a Product Engineer in a major fabless company. I've been hearing about all those design terminologies (STA, PD, RTL, etc) at work but never fully understand them, and it really impedes my communications with my design and DFT partners. In the past many weeks, I have been learning both of Dr. Teman's Digital Circuits and VLSI Design classes, lecture by lecture, slide by slide, and it's super super enlightening. I wouldn't say I become anywhere close to a VLSI expert, but all these key design concepts now make perfect sense to me, and it is significantly helping my work. Thank you so much, Dr Teman, for providing such great classes online for the public. I cannot thank you enough. Also looking forward to more of your classes in the future.
@AdiTeman
@AdiTeman 2 жыл бұрын
Thank you so much for the amazing compliments. I am so happy that my intention is able to pass to people like you as I have envisioned it!
@arpitsharma3071
@arpitsharma3071 Жыл бұрын
Thanks ! I was unable to understand short path delay for a long time, now I finally get it.
@AdiTeman
@AdiTeman Жыл бұрын
Great!
@isaackumba2688
@isaackumba2688 3 жыл бұрын
The course is very clear and helpfull , Few uni did like this course in academia , Thank you so much Sir
@AdiTeman
@AdiTeman 3 жыл бұрын
Thank you for the great feedback.
@rajeshsaha3446
@rajeshsaha3446 3 жыл бұрын
Helpful lecture.....dear sir your lecture is awesome!!!!! because lectures are very neat and clean theory with beautiful example and motivation.......
@AdiTeman
@AdiTeman 3 жыл бұрын
Thanks and welcome
@bharatnalluri1609
@bharatnalluri1609 3 жыл бұрын
excellent sir Thank you
@AdiTeman
@AdiTeman 3 жыл бұрын
You are most welcome
@akashwayal8797
@akashwayal8797 3 жыл бұрын
sir for hold constraint, the line should be if jitter makes the launch clock "early" we need to subtract it from the data path delay. and not later right ?
@AdiTeman
@AdiTeman 3 жыл бұрын
Yes, I think that you got that right. The data is launched early, thereby causing the delay through the launch path to be shorter (the data to reach the arrival point early). The capture clock arrives late, making the capture path longer (slower). Altogether we get 2*Tjitter. That being said, as I mention in the lecture, I believe this is an overkill, since a large part of the jitter (perhaps the majority) is shared between the launch and capture path when a single clock edge is referenced. Therefore, I don't like adding this overhead.
@akashwayal8797
@akashwayal8797 2 жыл бұрын
@@AdiTeman Got it! Thank you so much
VLSI - Lecture 7f: Static Timing Analysis Example
11:59
Adi Teman
Рет қаралды 3,4 М.
VLSI - Lecture 8c: 6T SRAM Operation
23:10
Adi Teman
Рет қаралды 20 М.
Useful gadget for styling hair 🤩💖 #gadgets #hairstyle
00:20
FLIP FLOP Hacks
Рет қаралды 10 МЛН
Best Toilet Gadgets and #Hacks you must try!!💩💩
00:49
Poly Holy Yow
Рет қаралды 21 МЛН
I'm Excited To see If Kelly Can Meet This Challenge!
00:16
Mini Katana
Рет қаралды 24 МЛН
SeqCkt - 11 - Latch - Max and Min Delay Constraints
41:54
NPTEL-NOC IITM
Рет қаралды 10 М.
VLSI - Lecture 1b: Introduction - The World of Chip Design
34:47
Understanding Timing Analysis in FPGAs
29:41
Altera
Рет қаралды 28 М.
VLSI - Lecture 8a: SRAM - Introduction
20:02
Adi Teman
Рет қаралды 16 М.
How to Start a Speech
8:47
Conor Neill
Рет қаралды 19 МЛН
Crossing Clock Domains in an FPGA
16:38
nandland
Рет қаралды 67 М.
62 - Sequential Circuits Timing Analysis
26:48
Anas Salah Eddin
Рет қаралды 14 М.
Useful gadget for styling hair 🤩💖 #gadgets #hairstyle
00:20
FLIP FLOP Hacks
Рет қаралды 10 МЛН