#1 Introduction to VLSI || VLSI in Tamil

  Рет қаралды 6,498

VLSI For You

VLSI For You

Күн бұрын

This Video Contains
1. Basics
2. Advantages and Applications
3. VLSI Flow in detail
#vlsi #vlsidesign #v4u #vlsiforyou

Пікірлер: 37
@ashwinmancheryashwin6888
@ashwinmancheryashwin6888 10 ай бұрын
Mam your superb ... Nice explanation no one cares about electronic and give importance to IT core subjects but you make it. for that i will salute you mam...🎉
@vlsiforyou
@vlsiforyou 10 ай бұрын
Thanks for your support Learner! Please subscribe!! And, Keep supporting us!!!
@ragavideivavinotha7520
@ragavideivavinotha7520 6 ай бұрын
Clear explanation expect more videos like this regarding vlsi Next videos pls put what is the difference FPGA and asic design flow used in vlsi
@vlsiforyou
@vlsiforyou 6 ай бұрын
Thanks for your support! Sure everything will be covered in upcoming days. Please support and share with your friends
@-jeeva
@-jeeva 9 ай бұрын
Thank You Mam 👑
@vlsiforyou
@vlsiforyou 9 ай бұрын
Keep Supporting Us!!!
@ECEManjudeviM
@ECEManjudeviM 4 ай бұрын
Thank you mam its very helpful for me ❤
@vlsiforyou
@vlsiforyou 4 ай бұрын
Most welcome 😊
@basicneed007
@basicneed007 11 ай бұрын
Thanks lots
@vlsiforyou
@vlsiforyou 11 ай бұрын
Thank you Learner! Keep supporting us!!
@sivarahuls3960
@sivarahuls3960 9 ай бұрын
romba nandri mam neenga verilog full ah potrukingala
@vlsiforyou
@vlsiforyou 9 ай бұрын
Thank you for your kind words! Inam niraya irukku!! Keep watching and keep learning!!!
@maranthuponamaruthuvaunmai6400
@maranthuponamaruthuvaunmai6400 8 ай бұрын
hi mam.. i would like to do phd in VLSI . how can i choose the topic in VLSI
@vlsiforyou
@vlsiforyou 8 ай бұрын
Hi, frankly I don't have idea about PhD. Here, I'm giving lectures and coding examples for the under graduate students, who all are willing to got place in core companies.
@RAMKUMAR-bo7dv
@RAMKUMAR-bo7dv Жыл бұрын
Please teaching for system verilog
@vlsiforyou
@vlsiforyou Жыл бұрын
Sure! Will be explained in detail in upcoming videos!!
@RAMKUMAR-bo7dv
@RAMKUMAR-bo7dv Жыл бұрын
Mam you know for system verilog
@vlsiforyou
@vlsiforyou 11 ай бұрын
Please refer this video kzfaq.info/get/bejne/a8meYLCkqcvap3U.html If you have any doubts, comment or dm us on Instagram!
@sivarahuls3960
@sivarahuls3960 9 ай бұрын
ongaloda ella videos ah patha pothuma mam
@vlsiforyou
@vlsiforyou 9 ай бұрын
Ithu than basics. Concept and program oda explain panni irukom. Ithu ellam nalla learn panna pothum... Athukku neenga workout panni pakkanum. Concept ah ezhuthi vechikonga, coding run panni parunga. Ithu pothum!
@harshabhrito
@harshabhrito 9 ай бұрын
Which playlist I should flow for vlsi design regulation 2017 anna university?
@vlsiforyou
@vlsiforyou 9 ай бұрын
These videos and playlists available now are for preparing for the interviews. These all are the basics which will be asked in interviews. We don't have any video for particular syllabus. That will be covered soon!
@harshabhrito
@harshabhrito 9 ай бұрын
@@vlsiforyou ohh ok mam
@harshabhrito
@harshabhrito 9 ай бұрын
@@vlsiforyou for placement you were doing these videos right.
@vlsiforyou
@vlsiforyou 9 ай бұрын
Yeah! Right
@ahamedasick8068
@ahamedasick8068 9 ай бұрын
Mam complete syllabus fulla coding related ah irukuma
@vlsiforyou
@vlsiforyou 9 ай бұрын
Hello, Recent regulation and syllabus pathi no idea. Intha channel la vara concepts ellam verilog and system verilog related. Ithellam therinjikitta technical interview clear panna romba useful ah irukkum. Also, if you placed in a company, and you have 2, 3 years of experience, trying to switch company. These are the concepts and questions you may get in interviews.
@ahamedasick8068
@ahamedasick8068 9 ай бұрын
Actually ASIC Concept ithum same ma mam
@vlsiforyou
@vlsiforyou 9 ай бұрын
ASIC and SOC ellam concepts. Verilog, system verilog ellam languages. Please don't get confused If any queries and doubts, feel free to contact us on Instagram instagram.com/vlsiforyou?igshid=MzRlODBiNWFlZA==
@harshabhrito
@harshabhrito 9 ай бұрын
Mam vlsi 2017 engineering students kuda paka lam aa
@vlsiforyou
@vlsiforyou 9 ай бұрын
Yes, Sure
@harshabhrito
@harshabhrito 9 ай бұрын
@@vlsiforyou could you explain stick diagram layout diagram and all mam
@vlsiforyou
@vlsiforyou 9 ай бұрын
Will be covered in upcoming videos!
@harshabhrito
@harshabhrito 9 ай бұрын
@@vlsiforyou thank you mam
@KIRUTHIGAK.
@KIRUTHIGAK. 7 күн бұрын
mam now in 2024 it is important to learn vhdl or verilog
@vlsiforyou
@vlsiforyou 6 күн бұрын
70% verilog 30% vhdl
@KiruthigaKumaran-zh9kq
@KiruthigaKumaran-zh9kq 6 күн бұрын
​@@vlsiforyou Thanks a lot mam
IC Design &  Manufacturing Process : Beginners Overview to VLSI
32:07
Systemverilog Academy
Рет қаралды 148 М.
Мы никогда не были так напуганы!
00:15
Аришнев
Рет қаралды 4,9 МЛН
1❤️
00:17
Nonomen ノノメン
Рет қаралды 8 МЛН
Please be kind🙏
00:34
ISSEI / いっせい
Рет қаралды 190 МЛН
VLSI vs Software Jobs || Which one is better?
3:38
Ajay Decodes
Рет қаралды 38 М.
5 Important things to know about VLSI Design Verification | Road map to DV
12:24
Explore Electronics Plus
Рет қаралды 1,1 М.
Schrodinger Wave Function Meaning (In Tamil) | Wavefunction உண்மையில் சொல்வது என்ன!? | Quantum Story
30:36
Science With Sam - அறிவியல் அறிவோம் !
Рет қаралды 17 М.
Learn VERILOG for VLSI Placements for FREE | whyRD
16:38
#2 Syntax in Verilog || VLSI in Tamil #vlsi #verilog #v4u
6:43
VLSI For You
Рет қаралды 1,8 М.
Мы никогда не были так напуганы!
00:15
Аришнев
Рет қаралды 4,9 МЛН