No video

Course : Systemverilog Verification 1 : L4.1: Arrays in Systemverilog

  Рет қаралды 14,247

Systemverilog Academy

Systemverilog Academy

5 жыл бұрын

Join our channel to access 12+ paid courses in RTL Coding, Verification, UVM, Assertions & Coverage
/ @systemverilogacademy
Course : Systemverilog Verification 1 : Start Learning TB Constructs
Course Playlist: • FREE Course : Systemve...
www.systemverilogacademy.com/
Check playlists for more courses Arrays in Systemverilog
Links to useful systemverilog free tutorials and courses are below.
1. SV Beginner Playlist - • Systemverilog for Abso...
a. IC Design Process - • IC Design & Manufactu...
b. First Program in SV - • Systemverilog Training...
c. First TB & Simulation - • Systemverilog Tutorial...
2. Interfaces - • Course : Systemverilog...
3. Modports - • Course : Systemverilog...
4. Fork Join - • Course : Systemverilog...
5. Mailboxes - • Course : Systemverilog...
6. Assignment Statements - • All about Verilog& Sys...
7. Complete Udemy Systemverilog TB Courses for Free
a. TB Beginner 1 - • Systemverilog Free Cou...
a. TB Beginner 2 - • Free Systemverilog Cou...
a. SoC Verification - • Video

Пікірлер: 14
@tahirsengine
@tahirsengine 3 жыл бұрын
Awesome lecture. Lush channel.
@SystemverilogAcademy
@SystemverilogAcademy 3 жыл бұрын
Thanks for the feedback !
@bennguyen1313
@bennguyen1313 2 жыл бұрын
Not sure if there's a limit on the number of dimensions that can be synthesized to run on an FPGA.. but I'm having trouble with 3D. 2D seems to work fine.. I have a 32-bit ADC, that has 16 channels.. Libero doesn't seem to have any problem synthesizing a working bitstream, that declares and accesses the array: reg [ 31 : 0 ] adcData [ 15 : 0 ]; // 16 Channels, 32 bits each reg [ 31 : 0 ] reading; adcData [ channel ] [ 31 : 0 ]
@SystemverilogAcademy
@SystemverilogAcademy 2 жыл бұрын
Hi Ben, Sorry that I can't comment more on this as I need to spend some time to check the synthesis behaviour you describe.
@alvinaug3844
@alvinaug3844 3 жыл бұрын
sir, i think u have to use bit[3:0][7:0] byte;
@SystemverilogAcademy
@SystemverilogAcademy 3 жыл бұрын
You are right, at 3:06 it should have been an an array of size 3 as per the diagram, and so size should be be [3:0] not [2:0]. Thanks Alvin for this correction.
@alvinaug3844
@alvinaug3844 3 жыл бұрын
@@SystemverilogAcademy thanks sir for ur great effort.Hopes u will update some lab section also with questa sim tool.It will be helpful for everybody.
@SystemverilogAcademy
@SystemverilogAcademy 3 жыл бұрын
Thanks, will try that :)
@shreemit-travelvideosin4k550
@shreemit-travelvideosin4k550 2 жыл бұрын
I also had the same doubt, hence came to comment section. Thanks Ajith Sir for the clarification.
@krishnakittu6637
@krishnakittu6637 4 жыл бұрын
Do more videos , helpfull
@SystemverilogAcademy
@SystemverilogAcademy 4 жыл бұрын
We will
@108ahah
@108ahah 3 жыл бұрын
agree! Thanks to @Systemverilog Academy
@srinivasb4955
@srinivasb4955 3 жыл бұрын
Hi can you explain the packed and unpacked array in single array please
@SystemverilogAcademy
@SystemverilogAcademy 3 жыл бұрын
Hello, Not sure what exactly you are looking for. It'w common to use both packed & unpacked array declaration with a single variable. Eg: You wanted to use an array to store say 256 data packets in an array, where each packet is of 32 bit wide. The most common way to declare this array would be, logic [31:0] packet_arr[256];
Course : Systemverilog Verification 1: L4.2 : Unpacked Arrays in Systemverilog
8:33
ЧУТЬ НЕ УТОНУЛ #shorts
00:27
Паша Осадчий
Рет қаралды 10 МЛН
ТЫ С ДРУГОМ В ДЕТСТВЕ😂#shorts
01:00
BATEK_OFFICIAL
Рет қаралды 5 МЛН
MISS CIRCLE STUDENTS BULLY ME!
00:12
Andreas Eskander
Рет қаралды 21 МЛН
Course : Systemverilog Verification 2 : L3.2 : Mailbox in Systemverilog
13:21
Systemverilog Academy
Рет қаралды 8 М.
Stop, Intel’s Already Dead!
13:47
Linus Tech Tips
Рет қаралды 614 М.
Systemverilog | Test Bench Environment | Half Adder
1:18:39
vlsi_training
Рет қаралды 38 М.
Systemverilog Callback With Examples
14:33
Systemverilog Academy
Рет қаралды 7 М.
Typedef and alias in System verilog | #systemverilog |
9:26
ЧУТЬ НЕ УТОНУЛ #shorts
00:27
Паша Осадчий
Рет қаралды 10 МЛН