Functions and tasks in System verilog | Part 2 | Static & automatic functions |

  Рет қаралды 1,379

We_LSI

We_LSI

Күн бұрын

Declaration and initialization of functions in system verilog.
EDA code link:edaplayground.com/x/9MCw
0:00:Declaration and initialization
7:45:Static and automatic functions
Functions Part 1: • Functions and tasks in...
-----------Find enumeration videos here--------------------
Enum part 1: • Enumeration(enum) in S...
Enum part 2: • Enumeration(enum) in S...
Enum part3: • Enumeration(enum) in S...
#education #design #vlsi #semiconductor #electronics #verification #core #queuesinsv #coding #class #systemverilog #verilog #arrays #digitalelectronics #digital #design #testbench #designverification #verilog #engineering #engineeringjobs #electronicsandcommunication #guide #vlsitraining #vlsijobs #testbench #digitalelectronics #interview #interviewquestion #faq #student #learning #training #fresherstraningsplacement #designverification #lecture #functions

Пікірлер: 9
@prabhakarkumar8667
@prabhakarkumar8667 6 ай бұрын
Keep rising 😊
@susheelapatagar
@susheelapatagar 6 ай бұрын
✌️❣️
@naveenchakali280
@naveenchakali280 4 күн бұрын
mam explain how to access the automatic ,,, increment_static.count_b
@susheelapatagar
@susheelapatagar 3 күн бұрын
Generally, we can't access local variables outside their function or task directly. However, if the variable is static, as explained in the video, it can be accessed. For automatic variables, hierarchical references are not allowed. To work around this, you can declare a variable in the module (outside the function/task) and assign the local automatic variable to this module-level variable inside the function/task. This way, when you need to print or use the variable outside the function/task, you can simply use that module variable.
@naveenchakali280
@naveenchakali280 19 сағат бұрын
Thankyou mam
@shaikfaheed7353
@shaikfaheed7353 19 күн бұрын
We_Lsi verify
@susheelapatagar
@susheelapatagar 19 күн бұрын
+Chip verify!
@ChiragHadiya
@ChiragHadiya 4 ай бұрын
Ma'am Can we say automatic and dymanic is same ?
@susheelapatagar
@susheelapatagar 4 ай бұрын
No their behaviour may look like same but it is not. There are actually three kinds of storage categories; static, automatic, and dynamic. Static and automatic storage are part of the declaration of a variable of any type. Automatic variables get allocated and initialized when entering a procedural scope like a task or function and they get deallocated when exiting the scope. Dynamic storage is associated with the variable’s type. You can procedurally change the size of an array, queue, or string, as well as construct a class object as any time.
Они так быстро убрались!
01:00
Аришнев
Рет қаралды 2,3 МЛН
Пранк пошел не по плану…🥲
00:59
Саша Квашеная
Рет қаралды 7 МЛН
小蚂蚁被感动了!火影忍者 #佐助 #家庭
00:54
火影忍者一家
Рет қаралды 39 МЛН
Queues in System verilog | Part 1 | Types of queue
12:07
Interfaces in System Verilog
17:06
VLSI academia
Рет қаралды 1,7 М.
System Verilog - Shallow copy
13:40
Sharmi R
Рет қаралды 4,3 М.
Они так быстро убрались!
01:00
Аришнев
Рет қаралды 2,3 МЛН