No video

Zynq Part 3: Combining my own HDL with the Vivado block diagram!

  Рет қаралды 7,702

FPGAs for Beginners

FPGAs for Beginners

Күн бұрын

Hi, I'm Stacey, and in this video I show how I add my own logic beside the block diagram in Vivado.
Github Code:
github.com/HDL...
Buy me a coffee to support my channel: www.buymeacoff...

Пікірлер: 31
@Vanesyu
@Vanesyu 5 ай бұрын
You are the best!!!! Thank you so much. I understood how to link the PS and PL. I did in my Cora Z7 board.
@jesset-p9225
@jesset-p9225 10 ай бұрын
Excellent presentation. The diagram in the beginning was really helpful to illustrate the process flow. Keep up the good work, this is very valuable for the uninitiated.
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
Glad it was helpful!
@wolpumba4099
@wolpumba4099 Жыл бұрын
*Summary* - Stacey is continuing her Zinc series on KZfaq; this is part three. - The video focuses on adding custom logic to a Vivado block diagram. - The current block diagram has wrapper files that forward internal signals. - Stacey plans to add her own top-level code to include both modules (existing and new). - She walks through the process of creating external and internal signals. - External signals are for communication with the outside world. - Internal signals are for interfacing with the existing block diagram. - She also sets up clock and reset signals, specifying that the clock is supplied by a processor already in the block diagram. - Stacey modifies a block RAM port to create a dual port RAM. - She has pre-written code that she adds to the project, specifically for generating Fibonacci numbers. - This code writes a new Fibonacci number to block RAM every half a second. - After adding the code, Stacey exports the hardware and switches to Vitis. - In Vitis, she updates the hardware specification and rebuilds the application. - She tests the existing code on her hardware to confirm it still works. - Stacey then modifies her code to read from the block RAM every second and expects to see new Fibonacci numbers in her debug output. The video serves as a comprehensive guide for adding custom logic to an FPGA project using AMD Vivado and AMD Vitis. It covers creating signals, updating hardware specifications, and modifying code.
@frankgoenninger6958
@frankgoenninger6958 Жыл бұрын
Hey Stacey, thanks much for your Zynq series. If you could go on with an AXI stream slave interface - e.g. for reading data from an ADC - that would be terrific! Thanks again for the superb YT videos. Regards from Germany, Frank
@sw3916
@sw3916 8 ай бұрын
Yes this would be great. Would be also interesting to see a streaming interface. Another interesting project would be a data capture IP in the PL which stores data (in BRAM?) provided for example from an ADC and sends this data array to the PS with the help of an interrupt. still dreaming of such an example ;) BTW: You made the best videos for Vivado/Vitis for beginners I have seen so far! great job
@brucenaylor9563
@brucenaylor9563 Жыл бұрын
Nice overview - this really helps, thank you so much for putting these videos out there.
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
You're welcome!
@Consul99
@Consul99 11 ай бұрын
I appreciate your thorough and indepth tutorials for such a niche subject. I really enjoy FPGA and HDL but unfortunately it's quite hard to have a hobby in such a niche field without working in it.
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
It is difficult. I also just learned about makerchip.com which looks really cool and useful.
@jayminkessler2656
@jayminkessler2656 11 ай бұрын
LOLZ I wish I had seen this sooner. I switched from an inferred BRAM of some struct to the block diagram BRAM, and my addressing seemed weird. First hint was the BRAM had a 4 bit write enable port and 32 bit address, but it took me 30 minutes to work out the BRAM wanted a byte address and not a word index. Seeing your excellent videos would have saved me a tonne of time Also, thanks for the intro to the board tab. No idea how I missed it before
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
You have no idea how long I struggled with the same thing when I was working on this video! I couldn't figure out why my addressing was messed up. I made the mistake too!
@jinyuan5406
@jinyuan5406 11 ай бұрын
Really instructive videos
@user-ws9wl7uh7e
@user-ws9wl7uh7e 6 ай бұрын
Thank you!! It's really helpful!
@user-xz7qq2vm3h
@user-xz7qq2vm3h 11 күн бұрын
It's really helpful for beginner like me. Have you implement that neural network on fpga. Thanks
@lamacobain9653
@lamacobain9653 3 ай бұрын
Can you help me create axi transactions to write to fpga. I have everything ready. I connect clocking wizard, processor system reset, jtag axi, and my own ip core. When I run simulation everything is working fine. Also when I send write transactions it confirms the write data but when I read my data it reads zeros and not the result I expect.
@Vanesyu
@Vanesyu 5 ай бұрын
Can you do a tutorial about how to use TCP communication to transfer data in Zynq ?? Pleasee
@user-ld3jn2pm5g
@user-ld3jn2pm5g 9 ай бұрын
Thank you very much for the helpful video. Please, I have a question; I have ZYBO_7Z010CLG400 board, I use its BRAM to save 8100 Byte (byte width). The report of resources utilzation is: 60 available 8 used 13.33 % I dont understand what (8 used) mean? I want to save more than 8100 byte but I cant.
@sdrlab1149
@sdrlab1149 5 ай бұрын
Hello Stacey , can you do a part 4 where you will try to use linux project in vitis instead of standalone project?
@asidesigner8542
@asidesigner8542 11 ай бұрын
thanks for sharing, my program build fine, But it does not show the text, data, bss ,dec values, so I can not see my elf size! what's missing there?
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
There are a couple of different log tabs, you may have to scroll or check them. Or see if there's any errors/warnings that are occurring at that step.
@tijuthomas6793
@tijuthomas6793 11 ай бұрын
First thing first , this channel is awesome.......... I just want to know about the FPGA because need to design ( just design) a CPU and my own game console. and where to start my CPU design journey?
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
Thanks! and see www.edx.org/learn/design/the-linux-foundation-building-a-risc-v-cpu-core
@tijuthomas6793
@tijuthomas6793 10 ай бұрын
@@FPGAsforBeginners thanks a lot
@sivatejakolakaluri4633
@sivatejakolakaluri4633 7 ай бұрын
can you suggest me that, to which pin the external clock source of my created block design is to be connected in zc706 board ..?
@johndick996
@johndick996 Жыл бұрын
Please, make video tutorial about Static Time Analysis in Vivado
@FPGAsforBeginners
@FPGAsforBeginners 10 ай бұрын
I think I have already somewhere?
@christiangrenier9434
@christiangrenier9434 7 ай бұрын
Hi, great videos. I did the fibonacci project. And I see the number changes once per second. In your example, it's twice per second. When I check Vivado project, I see that FCLK is set to 50 MHz and in fibonacci verilog, I see localparam CLK_MHZ = 100; ==> Is that the root cause of my problem? It should be CLK_MHZ = 50 ?
@manojm8362
@manojm8362 11 ай бұрын
hello maam, can you please make a video of how to use qspi ip in vivado to connect external adc
@davidcache
@davidcache 7 ай бұрын
Id like a one on one tutorial. Possible?
@benjj280
@benjj280 11 ай бұрын
promo sm 😆
Zynq Part 1: Vivado block diagram (no Verilog/VHDL necessary!)
20:00
FPGAs for Beginners
Рет қаралды 21 М.
AXI Introduction Part 1: How AXI works and AXI-Lite transaction example
17:40
Joker can't swim!#joker #shorts
00:46
Untitled Joker
Рет қаралды 40 МЛН
Underwater Challenge 😱
00:37
Topper Guild
Рет қаралды 39 МЛН
王子原来是假正经#艾莎
00:39
在逃的公主
Рет қаралды 14 МЛН
5000 Subscribers! Answering your frequently-asked questions!
15:41
FPGAs for Beginners
Рет қаралды 1,9 М.
Creator of git, Linus Torvalds Presents the Fundamentals of git
1:10:15
Developers Alliance
Рет қаралды 82 М.
PCIe on Xilinx FPGAs
1:00:30
FPGA Zealot
Рет қаралды 14 М.
AXI Stream basics for beginners! A Stream FIFO example in Verilog.
12:11
FPGAs for Beginners
Рет қаралды 27 М.
Everything Starts with a Note-taking System
21:23
Mischa van den Burg
Рет қаралды 243 М.
Is chatGPT going to take my job? How well can chatGPT write Verilog?
23:34
FPGAs for Beginners
Рет қаралды 2,5 М.
Here's The Secret How To Create These Animated Diagrams
11:12
Amigoscode
Рет қаралды 425 М.
I run untested, viewer-submitted code on my 500-LED christmas tree.
45:17
Creating a custom AXI-Streaming IP in Vivado
14:27
FPGA Developer
Рет қаралды 17 М.